数电课程设计---四位二进制同步减法计数器

数电课程设计---四位二进制同步减法计数器

ID:10513563

大小:1.98 MB

页数:19页

时间:2018-07-07

数电课程设计---四位二进制同步减法计数器_第1页
数电课程设计---四位二进制同步减法计数器_第2页
数电课程设计---四位二进制同步减法计数器_第3页
数电课程设计---四位二进制同步减法计数器_第4页
数电课程设计---四位二进制同步减法计数器_第5页
资源描述:

《数电课程设计---四位二进制同步减法计数器》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、沈阳理工大学成绩评定表学生姓名班级学号1003060334专业课程设计题目四位二进制减法器评语组长签字:成绩日期20年月日19沈阳理工大学课程设计任务书学院专业学生姓名班级学号课程设计题目四位二进制同步减法计数器(缺0001,0010,0100,0101)实践教学要求与任务:1.了解数字系统设计方法。2.熟悉ISE仿真环境及VHDL下载。3.熟悉Multisim仿真环境。4.设计实现四位二进制同步减法计数器(缺0000,0001,0011,0100)工作计划与进度安排:第一周:熟悉Multisim及Xillinx及XillinxISE环境,练习数字系统设计方法第二

2、周:(1)在ISE环境中仿真实现四位二进制同步减法计数器(缺0000,0001,0011,0100)。(2)在Multisim环境中仿真实现四位二进制同步减法计数器(缺0000,0001,0011,0100),并通过虚拟仪器验证其正确性。指导教师:201年月日专业负责人:201年月日学院教学副院长:201年月日19沈阳理工大学目录一、课程设计目的4二、设计框图4三、设计过程51、ISE实现过程51.1建立工程51.2调试程序61.3波形仿真81.4引脚锁定与下载111.5仿真结果分析142、multisim实现过程142.1求驱动方程142.2画逻辑电路图172.

3、3逻辑分析仪的仿真182.4结果分析18四、总结19五、参考文献1919沈阳理工大学一、课程设计目的1了解同步减法计数器工作原理和逻辑功能。2掌握计数器电路的分析、设计方法及应用。3.学会正确使用JK触发器。二、设计框图输入计数脉冲CP四位二进制输出计数结果减法计数器由题目可知,无效状态为0000,0001,0011,0100根据二进制递减计数的规律,可看出状态图如图2.1所示。001011111110110111001011101001010110011110001001状态图19沈阳理工大学三、设计过程1、ISE实现过程1.1建立工程File——〉NewPro

4、ject>>ProjectName:count2>>ProjectLocation:工程保存的位置>>next——>……——>next直至finish。建立工程19沈阳理工大学1.2调试程序右击xc95108-15pc84,选NewSource,再选VHDLModule后,填加文件名(Filename:count2)——〉next——〉finish。添加VHDL文件19沈阳理工大学写入如下程序后保存LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;entitycount2is

5、PORT(cp,r:INSTD_LOGIC;q:OUTSTD_LOGIC_VECTOR(3DOWNTO0));ENDcount2;ARCHITECTUREBehavioralOFcount2ISSIGNALcount:STD_LOGIC_VECTOR(3DOWNTO0);BEGINPROCESS(cp,r)BEGINIFr='0'thencount<="1111";ELSIFcp'EVENTANDcp='1'THENIFcount="0101"THENcount<="0010";ELSIFcount="0010"THENcount<="1111";ELSEcoun

6、t<=count-1;ENDIF;ENDIF;ENDPROCESS;q<=count;ENDBehavioral;双击ImplementDesign(或右键Run),运行程序19沈阳理工大学调试成功显示如下1.3波形仿真回到count2.vhd界面,右键点击count2-Behavioral(count2.vhd),选NewSource——〉TestBenchWaveForm——〉FileName:test(测试波形文件名),next(连接count2)——next〉——〉finish19沈阳理工大学将Initiallengthoftestbeach改成10001

7、9沈阳理工大学将仿真波形设置成如图(r一直等于1)左侧Sourcesfor栏内选择BehavioralSimulation,选择test,打开Processes下的XilinxISESimulator如图19沈阳理工大学点击SimulateBehavioralModel(或右键RUN)运行仿真波形运行完成后将出现如下时序图时序图如图1.4引脚锁定与下载左上侧Sourcefor选项中选择Synthesis/Implementation,左下侧Processes——〉UserConstraints——〉AssignPackagePins分配引脚:Cp-key1,r-s

8、w1,q3

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。