基于dsp+cpld可重构数控系统的设计

基于dsp+cpld可重构数控系统的设计

ID:12054247

大小:168.50 KB

页数:6页

时间:2018-07-15

基于dsp+cpld可重构数控系统的设计_第1页
基于dsp+cpld可重构数控系统的设计_第2页
基于dsp+cpld可重构数控系统的设计_第3页
基于dsp+cpld可重构数控系统的设计_第4页
基于dsp+cpld可重构数控系统的设计_第5页
资源描述:

《基于dsp+cpld可重构数控系统的设计》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、基于DSP+CPLD可重构数控系统的设计  1、前言  随着计算机技术的高速发展,各工业发达国家投入巨资,对现代制造技术进行研究开发,提出了全新的制造模式,其核心思想之一是柔性化制造,制造系统能够随着加工条件的变化动态调整。目前,各类MCU快速发展,它们不仅运算速度快、价格便宜、种类繁多,而目不同MCU针对不同的应用在其片上集成了专用控制电路,满足了不同的应用需求还提高了电路的安全性和稳定性。综合上述的分析与论证,本文设计了一种基于DSP+CPLD现场可编程门阵列器件的可重构数控系统。  2、硬件设计  本运动

2、控制卡是以PC机作为主机的运动控制卡,选用DSP作为核心微处理器,卡上集成编码器信号采集和处理电路,D/A输出电路,扩展存储器电路和PC-DSP通讯电路。PC机把粗处理的数据通过DSP-PC通讯接口传递给运动控制系统,DSP通过对光电编码器反馈信号处理电路的结果分析,计算出与给定位置的误差值,再通过软件位置调节器获得位置控制量,计算出运动速度控制量,产生的输出信号经D/A转换将模拟电压量送给伺服放大器,通过对伺服电机的控制实现对位置的闭环控制。系统的结构框图如图1所示。  选用美国TI公司的16位定点DSPTM

3、S320LF2407A作为本运动控制器的核心处理器,地址译码、时序逻辑、编码器信号处理电路用CPLD来完成,用PCI接口芯片实现双口RAM与PC机的通讯,双口RAM用来存储和缓冲DSP与PC机间的通讯数据,SRAM用来存储运动控制器运行时的程序和数据。  (1).DSP外部中断接口处理  对于数控机床来说,由于受工作行程等各方面的限制,在其超过控制范围时,引入包括限位中断和编码器INDEX信号中断。每个控制轴有正反方向的两个限位开关,产生两个限位信号,4个轴共8个限位信号:LIMA+,LIMA-、LIMB+,L

4、IMB-、LIMC+,LIMC-,LIMD+,LIMD-其中“+”表示正限位,“-”表示负限位。这几个信号通过CPLD的相与之后接到DSP的中断管脚XINT1,同时这些信号通过光藕电路接入DSP的I/O口。当运动到限位开关处时,就会触发DSP的外部中断信号XINT1,然后DSP就可以根据I/O判定是哪个限位开关超过工作范围。8个限位开关分别接到DSP的I/O口,通过设置MCRA(地址:7090H),MCRB(地址:7092H)为零,使这些复用管脚处于I/O功能。限位输入信号的状态可以从寄存器PADATDIR(地

5、址:7098H)和PBDATDIR(地址:709AH)对应的数据位读取,对应的数据方向位设为零,以使这些I/O管脚工作在“输入”状态下。编码器的INDEX信号处理同上面相类似。每个轴能产生一个INDEX信号,4个轴有4个INDEX信号。这4个信号通过逻辑与门产生一个中断信号,接到XINT2,同时接到DSP的I/O口,供中断产生时DSP读入。  (2).四轴编码器信号处理电路设计  四轴编码器信号处理电路是对光电编码器输出的两组相差90o的方波信号的处理,从而获得执行元件实际位置,其输出是一路16位的数字量,反馈

6、给中央处理器,编码器信号处理电路包括滤波,倍频,计数几个功能模块,传统的四轴编码器信号处理电路采用分立元件来设计,它可靠性、抗干扰能力差,应用CPLD设计了单片并行四轴编码器信号处理电路。  它具有实时性好,硬件体积小,工作效率高,提高系统的集成度,相对于分立元件,单片并行四轴编码信号处理电路集成在一个片子上,一方面单片芯片内的门电路、触发器的参数特性是完全一致的,在相同转速下脉冲信号的脉冲周期可以保持一致。另一方面,电路做在单个芯片内,抗干扰性能比分离器件构成的电路也有很大的提高,增强了系统的灵活性、通用性和

7、可靠性。本文设计是一个四轴伺服系统,因此有八路四组方波信号,A相B相相差90o,CLR,CLK,WE分别为输出清零,系统时钟和输出使能,SEL*是输出选择信号,选择X,Y,Z,A中的一组信号处理的结果作为输出信号,分时送到数据总线。  滤波模块的设计  编码盘理论上是稳定的方波信号,但在实际操作中,经常会存在脉动干扰,滤波模块的功能是将这些脉动干扰滤掉,降低系统产生误动作的可能性,提高系统的可靠性,下面的VHDL程序通过对A,B两相方波信号同时延时四个CLK脉冲,,脉冲宽度小于三个CLK脉冲周期的输入信号被滤掉

8、。仿真结果如图:  倍频计数模块的设计  四倍频电路的设计是为了增加计数脉冲在一个周期中的个数,来提高测量的分辨率,工程中常把光电编码器输出的两路方波信号的上升沿和下降沿,来获得四倍频的脉冲信号,把光电编码器的分辨率提高四倍,通过光电编码器输出两路方波信号相差的正负来确定运动的方向,对光电编码器输出信号A、B;可以写成:   如图:  在一个周期内产生的四倍频计数脉冲输出S,方向判别信

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。