楼道触摸延时开关设计

楼道触摸延时开关设计

ID:13603146

大小:694.00 KB

页数:9页

时间:2018-07-23

楼道触摸延时开关设计_第1页
楼道触摸延时开关设计_第2页
楼道触摸延时开关设计_第3页
楼道触摸延时开关设计_第4页
楼道触摸延时开关设计_第5页
资源描述:

《楼道触摸延时开关设计》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、EDA技术课程设计课题:楼道触摸延时开关设计系别:电气与电子工程系专业:电子信息工程姓名:学号:指导教师:年月日-9-目录一、设计目的3二、设计要求3三、总体设计原理与内容31、设计的总体原理:32、设计内容4四、EDA设计及仿真41、楼道触摸延时开关源程序52、楼道触摸延时开关仿真结果及数据分析5五、硬件实现61、硬件引脚锁定及步骤62、硬件实现照片6六、设计总结91、设计过程中遇到的问题及解决方法92、设计体会9七、设计生成的电路图10参考文献10-9-一、设计目的通过对FPGA(现场可编程门阵列)芯片的设计实践,使学生掌握一般的PLD(可编程逻辑器件)

2、的设计过程、设计要求、设计内容、设计方法,能根据用户的要求及工艺需要进行电子芯片设计并制定有关技术文件。培养学生综合运用已学知识解决实际工程技术问题的能力、查阅图书资料和各种工具书的能力、工程绘图能力、撰写技术报告和编制技术资料的能力,受到一次电子设计自动化方面的基本训练。培养学生利用EDA技术知识,解决电子设计自动化中常见实际问题的能力,使学生积累实际EDA编程。通过本课程设计的学习,学生将复习所学的专业知识,使课堂学习的理论知识应用于实践,通过本课程设计的实践使学生具有一定的实践操作能力。二、设计要求1、以EDA技术的基本理论为指导,将设计实验分为基本功

3、能电路和较复杂的电子系统两个层次,要求利用数字电路或者EDA方法去设计并完成特定功能的电子电路的仿真、软硬件调试;2、熟悉掌握常用仿真开发软件,比如:QuartusII或XilinxISE的使用方法。3、能熟练运用上述开发软件设计并仿真电路并下载到FPGA中进行调试;4、学会用EDA技术实现数字电子器件组成复杂系统的方法;学习电子系统电路的安装调试技术。5、用EDA技术设计一楼道触摸延时开关,具体要求如下:(1)当人用手触摸开关时,照明灯点亮,并持续一段时间后自动熄灭。(2)开关的延时时间约1分钟左右。三、总体设计原理与内容1、设计的总体原理:图3—1—1触

4、发延时电路框图结构-9-根据数字电路中触发延时电路的结构框图可以更清晰的了解触发延时开关的功能作用,尽管使用EDA编程触摸延时开关不需要知道其内部结构,但还是有一定的帮助。图3—1—2数字电路中触发延时开关设计图根据数字电路中触发延时开关设计图,可以更清楚的了解触摸延时开关在使用时只要用手指摸一下触摸电极,灯就点亮,延时若干分钟后会自动熄灭。可以直接取代普通开关,不必改室内布线。2、设计内容通过以上内容的分析我们可以对编程做出一些实质性的约定:可以把手触摸端假设为一个开关,按下表示手触摸,断开表示手离开开关,以便于试验箱上进行硬件仿真。在硬件仿真中,可以用L

5、ED代替灯泡。根据设计标准,我们可以在程序中实现延时一分钟的功能,并能通过修改程序实现不同的延时时间。四、EDA设计及仿真1、楼道触摸延时开关源程序libraryieee;useieee.std_logic_1164.all;------------------------------------------entityswitchisport(clk,inp:instd_logic;output:bufferstd_logic);endswitch;--------------------------------------------------arch

6、itectureswitch_delyofswitchisbeginprocess(clk)variabletemp1:integerrange0to1000;--------定义变量以实现计数定时延时;beginif(inp='1')then---------------------------------给一个触发时,也即手触摸开关;output<='1';---------------------------------输出高电平,灯亮;-9-temp1:=0;---------------------------------计数清零;elsif(cl

7、k'eventandclk='1')then-------------触发消失,开始计数;if(temp1>=10andoutput<='1')then当延时时间到达时,灯还亮,output<='0';-------------------------------输出一个低电平,灯灭;temp1:=0;---------------------------------计数清零;elsetemp1:=temp1+1;------------------------灯灭后延时时间未到,继续计数;endif;endif;endprocess;endswitch_d

8、ely;2、楼道触摸延时开关仿真结果及数据分析图4—

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。