简易逻辑分析仪设计

简易逻辑分析仪设计

ID:15457268

大小:1.09 MB

页数:26页

时间:2018-08-03

简易逻辑分析仪设计_第1页
简易逻辑分析仪设计_第2页
简易逻辑分析仪设计_第3页
简易逻辑分析仪设计_第4页
简易逻辑分析仪设计_第5页
资源描述:

《简易逻辑分析仪设计》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、本科生课程设计(论文)辽宁工业大学电子综合设计与制作课程设计(论文)题目:简易逻辑分析仪院(系):电子与信息工程学院专业班级:电子081学号:080404009学生姓名:东宇指导教师:(签字)起止时间:2011.12.26—2012.01.06III本科生课程设计(论文)课程设计(论文)任务及评语院(系):电子与信息工程学院教研室:电子信息工程学号080404009学生姓名东宇专业班级电子081课程设计题目简易逻辑分析仪课程设计(论文)任务任务和要求:设计并制作一个8路数字信号发生器与简易逻辑分析仪。(1)制作数字信号发生器能产生8路可预置的循环移位逻辑信号序列,输出信号为TTL

2、电平,序列时钟频率为100Hz,并能够重复输出。(2)制作简易逻辑分析仪a.具有采集8路逻辑信号的功能,并可设置单级触发字。信号采集的触发条件为各路被测信号电平与触发字所设定的逻辑状态相同。在满足触发条件时,能对被测信号进行一次采集、存储。b.能利用模拟示波器清晰稳定地显示所采集到的8路信号波形,并显示触发点位置。c.8位输入电路的输入阻抗大于50kΩ,其逻辑信号门限电压可在0.25~4V范围内按16级变化,以适应各种输入信号的逻辑电平。d.每通道的存储深度为20bit。进度计划1、布置任务,查阅资料,理解掌握系统的控制要求。(1天)2、设计简易逻辑分析仪的系统接线图。(2天)3

3、、建立简易逻辑分析仪的框图。(2天)4、绘制框图。(2天)5、对系统进行仿真,确定PID控制参数,分析系统性能。(2天)6、撰写、打印设计说明书(1天)指导教师评语及成绩平时:论文质量:答辩:总成绩:指导教师签字:学生签字年月日注:成绩:平时20%论文质量60%答辩20%以百分制计算III本科生课程设计(论文)摘要本系统的设计电路由8位数字信号发生器电路、数据采集电路、功能控制系统、显示电路四部分构成。8位数字信号发生器电路:由单片机、液晶、按键等元器件组成,可以产生8路循环移位逻辑信号序列,并能设定、调节并显示预置值。数据采集电路:由单片机控制,含有RAM及8位输入电路等,能够

4、采集并存储输入的8位逻辑序列。功能控制系统:它也是由单片机控制,完成设定、显示、调整系统各功能项的任务。显示电路:主要由可编程逻辑器件CPLD和电平移位及扫描电路组成,用于将RAM中的8路逻辑序列取出,将其高速送入示波器稳定显示。关键词:可编程器件CPLD;电平位移;扫描电路;III本科生课程设计(论文)目录第1章绪论11.1逻辑分析仪在数字科技中的地位11.2本文研究内容1第2章方案论证2第3章系统原理框图4第4章系统电路54.18位数字信号发生器54.2功能控制系统54.3数据采集电路64.4显示系统6第5章系统软件设计7第6章调试过程及功能实现10第7章结果分析12第8章总

5、结13参考文献14附录115III辽宁工业大学课程设计说明书(论文)第1章绪论1.1逻辑分析仪在数字科技中的地位数字科技对检测仪器的需求20世纪70年代以来,大规模集成电路、可编程逻辑器件、高速数据信号处理器和计算机技术等高新技术得到迅猛发展,为解决数字设备、计算机及VLSI等电路在研制、生产、检修和维护中的测试问题,出现了一类新的测试设备。因为其被测系统的信息载体主要是二进制数据流,为区别于频域或时域的测量,把这一类测试统称为数据域(DataDomain)测试,即有关数字系统的测试称为数据域测试。以离散时间或事件出现的次序为自变量,状态值为因变量的函数关系属数据域范畴。因此数据

6、信息是由状态空间概念、数据格式和数据源构成的。它与频域或时域的信息不一样,具有以下一些特征:1数字信息几乎都是多位传输的。2数字信息是按时序传递的。3许多信号仅发生一次。有些信号虽然可以重复发生,但是它们是非周期性的。4造成系统出错的误码常混在一串正确的数据流中,实际上只有错误已经发生以后才能辨认出来。5信号的速度变换范围很大(如高速运行的主机和低速的外围设备)系统的检测不可能象对模拟系统那样。1.2本文研究内容1.具有采集8路逻辑信号的功能,并可设置单级触发字。信号采集的触发条件为各路被测信号电平与触发字所设定的逻辑状态相同。在满足触发条件时,能对被测信号进行一次采集、存储。2

7、.能利用模拟示波器清晰稳定地显示所采集到的8路信号波形,并显示触发点位置。3.8位输入电路的输入阻抗大于50kΩ,其逻辑信号门限电压可在0.25~4V范围内按16级变化,以适应各种输入信号的逻辑电平。4.每通道的存储深度为20bit。1辽宁工业大学课程设计说明书(论文)第1章方案论证简易逻辑分析仪系统包括四个部分:(1)8位数字信号发生器(2)数据采集电路(3)功能控制电路(4)显示电路。整个系统框图如图(1)所示:第一部分是8位数字信号发生器。本题要求能产生8路可预置的循环移位

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。