基于cpld的数字鉴相系统设计

基于cpld的数字鉴相系统设计

ID:21865185

大小:58.00 KB

页数:7页

时间:2018-10-25

基于cpld的数字鉴相系统设计_第1页
基于cpld的数字鉴相系统设计_第2页
基于cpld的数字鉴相系统设计_第3页
基于cpld的数字鉴相系统设计_第4页
基于cpld的数字鉴相系统设计_第5页
资源描述:

《基于cpld的数字鉴相系统设计》由会员上传分享,免费在线阅读,更多相关内容在工程资料-天天文库

1、基于CPLD的数字鉴相系统设计:本文以CPLD为核心,采用脉冲计数填充法设计了一种数字鉴相系统,并应用到石英晶体电参数测试系统中,实现石英晶体电参数测试。该系统由πX络模块,相位检测模块、控制模块三个部分组成。CPLD实现对信号的分频、鉴相、数据采集等逻辑功能;计算机完成对数据的读取、处理和显示功能。  关键字:πX络,石英晶体电参数测试,相位差检测,CPLD    1引言  目前对石英晶体电参数(谐振频率、谐振电阻等参数)的测试方法主要有阻抗计法、πX络最大传输法和πX络零相位法等。其中πX络零相位法是国际电工委员会(IE

2、C)推荐的石英晶体的标准检测方法。πX络零相位法是将石英晶体置于πX络中,在理想状态下,当πX络两端信号的相位差为零时,石英晶体处于谐振状态,等效为纯电阻,此时的激励信号的频率即为石英晶体的串联谐振频率。因此相位检测是基于πX络零相位法石英晶体电参数测试系统的关键技术之一,准确判别零相位点有利于提高测试系统的测试精度。  相位差检测方法有很多,整体上可分为模拟和数字两种方法。模拟方法是将两路正弦信号相乘,然后对乘积项信号进行积分,得到相位差信息。这种办法对相位差非零时检测精度较高,但相位差为零时检测精度低,而石英晶体电参数测

3、试系统就是检测相位差是否为零来判定石英晶体是否处于谐振状态。数字方法是利用脉冲填充法,用高频计数脉冲对相位差脉冲进行填充,计算计数脉冲个数,利用相位差与计数脉冲个数之间的关系式求出相位差值。因此采用数字方法鉴相可以很容易检测出相位差为零,且计数脉冲频率越高,测量精度越高。本文正是采用数字方法并结合CPLD设计数字鉴相系统,并把该鉴相系统应用到石英晶体电参数测试系统中。  2数字鉴相原理与系统设计  2.1把相位差转换为时间差的测量原理  设Δt为相位差时间,T为被测信号的周期,△φ为相位差,则两被测信号的相位差表达式为:  

4、φπ∆=∆2/tT(1)  利用脉冲填充法进行数字测量时,设σ为计数脉冲周期,fc为其频率,f为被测信号的频率,N为计数值,则数字相位差测量的表达式为:  φππσ∆=∆==2/2/360/tTNTNffc  (2)  当fc=360f时,△φ=N,即计数脉冲的个数就是相位差的大小,此时的测量精度为1。;当fc>360f时,精度将大于1。,因此计数脉冲频率一般都选取不小于360倍被测信号频率。  2.2石英晶体电参数测试系统设计整体框图:  图1是石英晶体电参数测试系统框图,该

5、测试系统由计算机、PCI接口电路、CPLD控制电路、信号源、πX络、补偿X络、混频/鉴幅电路、鉴相电路、数据采集电路等组成。  信号源产生三路幅度、初相位和频率均可独立可调的正弦信号、和。这三路信号中,、为同频信号,与、的频率差为450kHz,作为混频器的本振信号,作为πX络的激励信号,输入补偿X络作为相位校准信号。CPLD部分完成分频,数字鉴相,计数和数据采集等逻辑功能,通过PCI接口电路连接到计算机上。计算机读取CPLD采集到的数据,并根据读取数据计算相应的相位差。  2.3数字鉴相部分:  相位差检测方法有很多,整体上

6、可分为模拟和数字两种方法,具体可以有波形变换法、外差鉴相法、变换法、过零检测法等。  过零检测法是利用两正弦信号的相位差与相邻过零点之间的间隔之间的关系,只要检测出两路信号相邻过零点的时间间隔的大小,就能测出两信号的相位差。外差法鉴相是利用混频器将两高频信号混频至固定低频信号,再对低频信号进行相位检测。属于模拟鉴相法;变换法是用转换器把相位差转换成一个频率与相位差成正比的脉冲列,计算在一定时间内的脉冲的个数来检测相位差,属于数字鉴相法。本系统就是利用该方法设计的。下面介绍基于CPLD的数字鉴相法,图2是数字鉴相框图。  其中

7、虚线部分在CPLD内实现,其中混频/鉴幅实现对被测信号的幅度检测和对两路高频信号进行混频至低频信号;施密特触发器实现对混频/鉴幅器出来的波形进行整形,已达到CPLD所要求的波形;D触发器为了实现对两路同频信号进行超前和滞后的判断,这样可以实现0。~±180。;分频器的目的是进一步降低检测信号的频率但相位信息不发生改变;锁相环产生高频计数脉冲,将其产生的高频脉冲和相位差脉冲一起送入与门,实现相位差检测。  鉴相器主要是利用异或门实现,如图3:  3CPLD应用  我们采用Altra公司的EPM7128芯片来实现CPLD的功能,

8、EPM7128是Altra公司的MAX7000系列CPLD芯片的一种,含有128个宏单元,具有在线编程和调试能力。该芯片能实现ISA总线接口,DDS芯片,数字鉴相和数据采集部分的编程接口之间的连接,提高了测试模块的集成度和可靠性。Altra公司提供了功能强大的开发环境MAX+pluseII

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。