利用拨码开关控制点阵进行十六进制数字显

利用拨码开关控制点阵进行十六进制数字显

ID:28361117

大小:7.01 MB

页数:23页

时间:2018-12-09

利用拨码开关控制点阵进行十六进制数字显_第1页
利用拨码开关控制点阵进行十六进制数字显_第2页
利用拨码开关控制点阵进行十六进制数字显_第3页
利用拨码开关控制点阵进行十六进制数字显_第4页
利用拨码开关控制点阵进行十六进制数字显_第5页
资源描述:

《利用拨码开关控制点阵进行十六进制数字显》由会员上传分享,免费在线阅读,更多相关内容在应用文档-天天文库

1、中北大学课程设计说明书   学生姓名:杨述坤学号:0706024104 学院:电子与计算机科学技术学院 专业:微电子学 题目:利用拨码开关控制点阵进行十六进制数字显示   指导教师:王红亮职称:讲师    2010年6月25日-22-目录1、课程设计目的……………………………………………………………………22、课程设计内容和要求……………………………………………………………22.1、设计思路………………………………………………………………………22.2、设计要求………………………………………………………………

2、………23、设计方案及实现情况……………………………………………………………23.1、设计思路………………………………………………………………………23.2、工作原理及框图………………………………………………………………33.3、各模块功能描述………………………………………………………………43.4、仿真结果………………………………………………………………………53.5、试验箱验证情况……………………………………………………………194、课程设计总结…………………………………………………………………215、参

3、考文献………………………………………………………………………23-22-1、课程设计目的1.学习操作数字电路设计实验开发系统,掌握点阵显示模块的工作原理及应用。2.掌握组合逻辑电路、时序逻辑电路的设计方法。3.学习掌握可编程器件设计的全过程2、课程设计内容和要求2.1、设计内容利用拨码开关控制点阵进行十六进制数字显示,主要包括拨码开关译码电路,行选控制模块和列字符扫描信号。2.2、设计要求1.学习掌握拨码开关控制模块、点阵显示模块的工作原理及应用;2.熟练掌握VHDL编程语言,编写拨码开关控制模块的控制逻辑;

4、3.仿真所编写的程序,模拟验证所编写的模块功能;4.下载程序到芯片中,硬件验证所设置的功能,能够实现十六进制数字的显示;5.整理设计内容,编写设计说明书。 3、设计方案及实现情况3.1、设计思路图1设计思路根据题目设计要求,将拨码开关的状态通过FPGA的控制在LED点阵上显示出来,系统框图如图1所示。本题目采用自顶向下的设计方法,将系统分为两个模块,先分别用MAX+PLUSII文本设计输入编写列选通模块和列字符扫描模块,然后用图形设计输入将两个模块和相应的输入输出进行总的电路设计,通过编译、仿真、时序分析、器

5、件编程、在线验证等一系列操作,最后完成整个设计过程。-22-3.2、工作原理及框图图2总体电路原理图LED点阵简介LED点阵式显示器不仅可以显示数字,也可显示所有西文字母和符号,与由单个发光二极管连成的显示器相比,具有焊点少、连线少,所有点在同平面、亮度均匀、外形美观等优点,可以代替数码管、符号管和米字管。如果将多块组合可以构成大屏幕显示屏用于汉字、图形、图表等等的显示,因此被泛用于机场、车站、码头、银行及许多公共场所的指示、说明、广告等场合。内部结构如图3。图316×16的LED点阵显示内部电路图-22-共

6、阳极的16×16的LED点阵显示器的典型连接方式是:每一行的16个阳极连在一起,由行扫描码锁存器和驱动器的一位控制,总共16行阳极连线由16位分别控制;每一列的16个阴极连在一起,由列扫描码锁存器和驱动器的一位控制,总共16列阴极连线由16位分别控制。点阵式LED显示器采用逐行扫描式工作。要使点阵显示出一个字符的编程方法是:首先选通第一行;接着,向行码锁存器写入该行的字型码。然后,按相同的方式选通第二行,写第二行的字型码……由此类推,直到写完所有行的字型码,完成一个字符的显示。3.3、各模块简介功能描述:(1

7、)列选控制模块在实验仪器中,16×16点阵显示的驱动电路已经做好,并且其列选通信号为一4-16译码器的输出,所以我们在设计点阵控制接口时,其列选通信号输出必须经4-16编码。通过循环进行4位二进制数计数,从而给点阵提供列选通信号,列选通引脚功能如图4:图4列选通引脚功能图(2)列字符扫描模块由点阵的显示原理及编程方法知:根据要显示的数字写出相应的字型码,然后根据逐行扫描的原理,首先选通第一行,接着,向行码锁存器写入该行的字型码。然后,按相同的方式选通第二行,写第二行的字型码……由此类推,直到写完所有行的字型码

8、,列字符扫描引脚功能如图5:图5列字符扫描模块引脚功能图说明:当列选通接口SEL0-SEL3为“0000”时,选中第16列,为“0001”时,选中15列,以此类推。列选通按照0000—0001—0010—……—1110—1111依次循环,在选中某列时,对列进行扫描,当某点列和行都为“1”时,该点被点亮。-22-硬件连接:将列选通模块的四位输出接到FPGA试验箱上点阵显示器的SEL0-SEL3四个列选

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。