北邮,asic实验报告

北邮,asic实验报告

ID:29999283

大小:17.92 KB

页数:10页

时间:2018-12-25

北邮,asic实验报告_第1页
北邮,asic实验报告_第2页
北邮,asic实验报告_第3页
北邮,asic实验报告_第4页
北邮,asic实验报告_第5页
资源描述:

《北邮,asic实验报告》由会员上传分享,免费在线阅读,更多相关内容在应用文档-天天文库

1、为了适应公司新战略的发展,保障停车场安保新项目的正常、顺利开展,特制定安保从业人员的业务技能及个人素质的培训计划北邮,asic实验报告  ASIC设计实验报告  学院:电子工程学院班级:XX姓名:学号:XX  组员:班内序号:9  指导老师:韩可  XX年6月  实验一:多路选择器的设计  一.实验目的:  1.掌握门级电路与行为级电路设计的区别;  2.掌握逻辑电路的设计方法;  3.熟悉测试程序的编写。  4.注意代码规范性要求。  二.实验内容:  1.设计一个有两位选择信号的四选一多路选择器,可以根据控制

2、信号从两位或多个输入源中选择一个予以输出。  out2.用基本逻辑门来实现多路选择器,即门级语言进行描述。参考门级电路如下。  3.用行为级语言进行描述,通过case语句重新设计仿真。  4.编写多路选择器的测试激励模块,并通过Synopsys工具进行仿真。目的-通过该培训员工可对保安行业有初步了解,并感受到安保行业的发展的巨大潜力,可提升其的专业水平,并确保其在这个行业的安全感。为了适应公司新战略的发展,保障停车场安保新项目的正常、顺利开展,特制定安保从业人员的业务技能及个人素质的培训计划  三.实验代码:  

3、modulemux1(s,i0,i1,i2,i3,out);  input[1:0]s;  inputi0,i1,i2,i3;  outputout;  wires1,s0,s0_n,s1_n;  wirey0,y1,y2,y3;  assigns1=s[1];  assigns0=s[0];  assigns1_n=~s[1];  assigns0_n=~s[0];  assigny0=i0&s1_n&s0_n;  assigny1=i1&s1_n&s0;  assigny2=i2&s1&s0_n;  assi

4、gny3=i3&s1&s0;  assignout=y0

5、y1

6、y2

7、y3;  endmodule  mux1_  `timescale1ns/1us  modulemux1_test();  reg[1:0]s;  regi0,i1,i2,i3;目的-通过该培训员工可对保安行业有初步了解,并感受到安保行业的发展的巨大潜力,可提升其的专业水平,并确保其在这个行业的安全感。为了适应公司新战略的发展,保障停车场安保新项目的正常、顺利开展,特制定安保从业人员的业务技能及个人素质的培训计划  wireout;  mux1

8、(.s(s),.i0(i0),.i1(i1),.i2(i2),.i3(i3),.out(out));  initial  begin  i2=1'b0;  forever#2i2=~i2;  end  initial  begin  i3=1'b0;  forever#4i3=~i3;  end  initial  begin  $dumpvars(2,mux1_test);  i0=1'b0;  i1=1'b1;  s=2'b00;  #20s=2'b01;  #20s=2'b10;目的-通过该培训员工可对保安

9、行业有初步了解,并感受到安保行业的发展的巨大潜力,可提升其的专业水平,并确保其在这个行业的安全感。为了适应公司新战略的发展,保障停车场安保新项目的正常、顺利开展,特制定安保从业人员的业务技能及个人素质的培训计划  #20s=2'b11;  #20s=2'b00;  #20s=2'b01;  #20s=2'b10;  #20s=2'b11;  #20$finish;  end  Endmodule  modulemux2(s,i0,i1,i2,i3,out);  input[1:0]s;  inputi0,i1,i

10、2,i3;  outputout;  regout;  always@(s,i0,i1,i2,i3)begin  case(s)  default:out=1'bx;2'b00:out=i0;2'b01:out=i1;2'b10:out=i2;2'b11:out=i3;endcase  end  Endmodule  mux2_`timescale1us/1us  modulemux2_test();目的-通过该培训员工可对保安行业有初步了解,并感受到安保行业的发展的巨大潜力,可提升其的专业水平,并确保其在这个行

11、业的安全感。为了适应公司新战略的发展,保障停车场安保新项目的正常、顺利开展,特制定安保从业人员的业务技能及个人素质的培训计划  reg[1:0]s;  regi0,i1,i2,i3;  ASIC设计实验报告  学院:电子工程学院班级:XX指导老师:韩可  XX年6月实验一:多路选择器的设计  一.实验目的:  1.掌握门级电路与行为级电路设计的区别;  2.掌握逻辑电路的

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。