modelsim使用命令

modelsim使用命令

ID:3140235

大小:238.50 KB

页数:14页

时间:2017-11-19

modelsim使用命令_第1页
modelsim使用命令_第2页
modelsim使用命令_第3页
modelsim使用命令_第4页
modelsim使用命令_第5页
资源描述:

《modelsim使用命令》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、1.常用仿真命令vlibwork   //建立work仿真库vmapworkwrok  //映射库vlog  -cover bcest *.v   //加覆盖率分析的编译vsim -coverage -voptargs="+acc" -t ns test  //仿真文件为test.vaddwave *    //将所有模块waveform.dump出来addwavesim:/test/t/M2/Reg_out        //将模块Reg_out中的waveform.dump出来delete wav

2、e /test/i2.SVA断言仿真命令vlog -sv a.vvsim -assertdebug testview assertionsvsim -assertdebug ScaleBlock_tf -L xilinxcorelib_ver -L unisims_ver  //加载xilinxlib库3.verror 3601  //查错4.给仿真工具加载xilinx库命令(1)加载之前将modelsim.ini改为非“只读”(2)“运行”cmd,到xilinx目录下(3)C:Xilinx> co

3、mpxlib -s mti_se -p c:Modeltech_6.0win32 -f all -l verilog -o C:Modeltech_6.0Xilinx_lbis或者 Xilinx目录下.bint下有compxlib.exe简单得modelsim命令行仿真                                      用do文件进行仿真真得很方便,比写testbench方便多了,我是深有感触呀,开始时因为不知道,只知道写testbence,在小得模块也写tes

4、tbench,真得很烦躁!而且信号定义什么得比较多,采用do文件得方法就没有那么多信号定义了,管理也比较方便,呵呵,真得很方便,而且采用命令行得形式,感觉特有成就感,呵呵!1.运行仿真,在主窗口输入命令:vsimwork.实体名2.为时钟信号添加驱动,输入命令:forceclk00,110-r20,将仿真时钟设为50MHz;(设时间单位为ns)3.打开波形窗口,输入命令:viewwave4.为波形窗口添加信号,输入命令:addwave-hex*,这里的*表示添加设计中所有的信号,-hex表示以十六进制

5、来表示波形窗口中的信号值;5.开始仿真,输入命令,run3us,这时候在波形窗口中出现仿真波形6.退出仿真,输入命令:quit–sim。modelsim常用命令分类:Verilog/FPGA2010-05-2610:49354人阅读评论(1)收藏举报用do文件进行仿真真得很方便,比写testbench方便多了,采用do文件没有那么多信号定义,管理也比较方便. 1.运行仿真,在主窗口输入命令:vsimwork.实体名2.为时钟信号添加驱动,输入命令:forceclk00,110-r20,将仿真时钟设为5

6、0MHz;(设时间单位为ns)3.打开波形窗口,输入命令:viewwave4.为波形窗口添加信号,输入命令:addwave-hex*,这里的*表示添加设计中所有的信号,-hex表示以十六进制来表示波形窗口中的信号值;5.开始仿真,输入命令,run3us,这时候在波形窗口中出现仿真波形6.退出仿真,输入命令:quit–sim//很常用!!7.查看错误详细信息:verror**(错误数字代号)--vlib-建立一个新的工作库。如:vlibwork       在当前目录建立逻辑库work,运行后会在当前目

7、录下找到work文件夹。--vmap-映射逻辑库名到指定的目录--vsim-启动仿真如:vsim-c-lvsim.log-do./YourDo.do-L./workwork.foo   开始仿真,-c选项让vsim工作在commandline模式;-l选项是输出log文件到vsim.log;-do选项是开始仿真后运行tcl脚本文件;-L选项是指定工作逻辑库;work.foo是仿真的toplevelmodule。odelSim之命令行仿真入门下面是我们的Tcl仿真步骤:启动ModelSimSE,首先看到

8、在在ModelSimSE右边的窗口有ModelSim>这样的提示符。在提示符后,顺序运行以下命令:   vlibwork 该命令的作用是在该目录下建立一个work目录,请注意不要用操作系统来新建一个work的文件夹,因为用操作系统建立的work文件夹并没有ModelSimSE自动生成的_info文件。   vmapworkwork   该命令的作用是将目前的逻辑工作库work和实际工作库work映射对应。   vlogcamera.v camera_tb

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。