基于fpga的16×16点阵汉字显示设计

基于fpga的16×16点阵汉字显示设计

ID:3144749

大小:1.12 MB

页数:17页

时间:2017-11-19

基于fpga的16×16点阵汉字显示设计_第1页
基于fpga的16×16点阵汉字显示设计_第2页
基于fpga的16×16点阵汉字显示设计_第3页
基于fpga的16×16点阵汉字显示设计_第4页
基于fpga的16×16点阵汉字显示设计_第5页
资源描述:

《基于fpga的16×16点阵汉字显示设计》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、EDA课程设计题目:16*16点阵显示器的设计班级:08电子信息工程(应电方向)院系:应用技术学院姓名:学号:实验地点:应用技术学院综合实验室指导老师:王悦善职称:讲师成绩:(2011年6月2日)16目录1.前言21.1本设计的研究背景和研究目的21.2LED点阵显示特点21.3FPGA设计的特点22系统设计32.1.1设计任务与要求32.1.2设计要求32.2设计原理32.3扫描控制模块32.4方案选择32.4.1方案一:32.4.2方案二汉字的存储42.5实现42.5.1列循环扫描42.5.2字符样式设计53.系统调试与仿真83.1开发环境介绍83.2调试与仿真83.2.1创建工程83

2、.2.2编译前设置83.2.3全程编译103.2.4功能仿真104结束语125参考文献126附录:程序13161.前言1.1本设计的研究背景和研究目的随着我国经济的高速发展,对公共场合发布信息的需求日益增长,利用LED点阵滚动显示汉字的出现正好适应了这一市场需求,已经成为信息传播的一种重要手段。采用传统方法设计的汉字滚动显示器,通常需要使用单片机、存储器和制约逻辑电路来进行PCB板级的系统集成。尽管这种方案有单片机软件的支持较为灵活,但是由于受硬件资源的限制,未来对设计的变更和升级,总是难以避免要付出较多研发经费和较长投放市场周期的代价。随着电子设计自动化(EDA)技术的进展,基于可编程F

3、PGA器件进行系统芯片集成的新设计方法,也正在快速地到代基于PCB板的传统设计方式。因此,本设计的研究是很有必要的,之所以基于FPGA设计是因为现场可编程门阵列(FPGA)设计周期小,灵活度高,适合用于小批量系统,提高系统的可靠性和集成度。并且采用编写灵活的VHDL语言编写主程序。1.2LED点阵显示特点(1)可以显示各种数字、文字、图表、曲线、图形;(2)采用纯红、高绿作双基色发光器件,发光亮度高,色彩鲜艳、丰富;(3)显示效果清晰、稳定、功耗低、寿命长;(4)优质铝合金结构,磨沙、银镜或钛金不锈钢包边。尺寸和规格可根据需要灵活组合;(5)支持各种计算机网络,编辑软件丰富、易用;(6)适

4、用于室内、外所有信息发布及广告宣传场所。如:银行、证券交易所、商场、市场、宾馆、洒楼、电信、邮政、医院、车站、机场等。1.3FPGA设计的特点FPGA通常被认为是ASIC实现的一种替代手段.一般ASIC包括三种,既全定制、半定制(含标准单元和门阵列)以及可编程器件。对于前两种,需要支付不可重复使用的工程费用NRE(NonrecurringEngineering),主要用于芯片的流片、中测、分析的工程开销,一次费用一般在1万至数万美元以上。如果一次不成功、返工、甚至多次返工,NRE费用将要上升。成本高、风险大,而通常对每个ASIC品种的需求量往往不大,NRE费用分摊到每个产品上价太高,用户无

5、法接受。而对于可编程器件PLD(ProgrammableLogicDevice)正是可以解决上述问题的新型ASIC,PLD以其操作灵活、使用方便、开发迅速、投资风险小等突出优点,特别适合于产品开发初期、科研样品研制或小批量的产品.FPGA是一种新型的PLD,其除了具有PLD的优点外,其规模比一般的PLD的规模大。目前,Xilinx推出的XC4025可以达到25000门的规模,Altera公司的FLEX10K100系列芯片可达到十万门的规模,完全可以满足用户的一般设计需要。   FPGA的主要特点是:寄存器数目多,采用查找表计数,适合时序逻辑设计。但是互连复杂,由于互连采用开关矩阵,因而使得

6、延时估计往往不十分准确。FPGA也有其自身的局限性,其一就是器件规模的限制,其二就是单元延迟比较大。所以,在设计者选定某一FPGA器件后,要求设计者对器件的结构、性能作深入的了解,在体系结构设计时,就必须考虑到器件本身的结构及性能,尽可能使设计的结构满足器件本身的要求.这样就增加了设计的难度。   离开对FPGA结构的详细了解,设计人员就不可能优化设计。因而设计人员必须了解FPGA器件的特性和限制,熟悉FPGA的结构。在了解FPGA结构特点的基础上,就可以利用VHDL语言描写出高效的电路描述实现性能优化的电路。162系统设计2.1.1设计任务与要求(1)使用FPGA设计一个16×16的点阵

7、显示的控制器,使点阵显示器循环多个显示汉字;(2)运用QuartusII软件对程序进行编译和仿真;(3)每人撰写一份课程设计报告,要求不少于两千字;(4)课程设计最后一节课交报个并对自己做的设计简单介绍及答辩。2.1.2设计要求(1)输出预定义多个汉字(不少于4个);(2)输出汉字以1s循环显示;(3)操作方便、可维护性高;(4)程序简捷,便于修改。2.2设计原理方案一:每次显示一个汉字,一秒钟后刷新显示第二汉字,一秒钟

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。