modelsim-alterasoftware

modelsim-alterasoftware

ID:35163432

大小:736.68 KB

页数:12页

时间:2019-03-20

modelsim-alterasoftware_第1页
modelsim-alterasoftware_第2页
modelsim-alterasoftware_第3页
modelsim-alterasoftware_第4页
modelsim-alterasoftware_第5页
资源描述:

《modelsim-alterasoftware》由会员上传分享,免费在线阅读,更多相关内容在工程资料-天天文库

1、ModelSim-AlteraSoftwareSimulationUserGuideModelSim-AlteraSoftwareSimulationUserGuide101InnovationDriveSanJose,CA95134www.altera.comUG-01102-2.0DocumentlastupdatedforAlteraCompleteDesignSuiteversion:12.1Documentpublicationdate:January2013©2013AlteraCorporation.Allrightsreserved.ALTERA,

2、ARRIA,CYCLONE,HARDCOPY,MAX,MEGACORE,NIOS,QUARTUSandSTRATIXareReg.U.S.Pat.&Tm.Off.and/ortrademarksofAlteraCorporationintheU.S.andothercountries.Allothertrademarksandservicemarksarethepropertyoftheirrespectiveholdersasdescribedatwww.altera.com/common/legal.html.Alterawarrantsperformance

3、ofitssemiconductorproductstocurrentspecificationsinaccordancewithAltera’sstandardwarranty,butreservestherighttomakechangestoanyproductsandservicesatanytimewithoutnotice.Alteraassumesnoresponsibilityorliabilityarisingoutoftheapplicationoruseofanyinformation,product,orservicedescribedhe

4、reinexceptasexpresslyagreedtoinwritingbyAltera.Alteracustomersareadvisedtoobtainthelatestversionofdevicespecificationsbeforerelyingonanypublishedinformationandbeforeplacingordersforproductsorservices.ModelSim-AlteraSoftwareSimulationUserGuideJanuary2013AlteraCorporationSimulatingwitht

5、heModelSim-AlteraSoftwareThisuserguidedescribessimulationusingtheModelSim-AlteraStarterEditionorModelSim-AlteraEditionsoftware.TheQuartus®IIsoftwaresupportsHDLdesignsimulationatregistertransfer(RTL)andgatelevelsinvariousindustry-standardsimulators.YoucanusetheQuartusIINativeLinkfeatur

6、etointegrateyourEDAsimulatorwithintheQuartusIIdesignflowandstreamlinesimulationprocessingsteps.Formoretool-specificguidelines,refertoAldecActive-HDLandRiviera-PROSupport,SynopsysVCSandVCSMXSupport,CadenceIncisiveEnterpriseSimulatorSupport,orMentorGraphicsModelSimandQuestaSimSupportint

7、heQuartusIIHandbook.PrerequisitesThisuserguideassumesyouhaveaworkingknowledgeofthefollowingsubjects:■VerilogHDL,SystemVerilog,orVHDLhardwaredescriptionlanguages■SubjectscoveredintheQuartusIIsoftware“GettingStartedTutorial”StartingtheModelSim-AlteraSoftwarewiththeQuartusIISoftwareTosta

8、rtthe

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。
相关文章
更多
相关标签