基于FPGA的LED点阵书写屏系统的程序设计

基于FPGA的LED点阵书写屏系统的程序设计

ID:37961411

大小:386.73 KB

页数:3页

时间:2019-06-03

基于FPGA的LED点阵书写屏系统的程序设计_第1页
基于FPGA的LED点阵书写屏系统的程序设计_第2页
基于FPGA的LED点阵书写屏系统的程序设计_第3页
资源描述:

《基于FPGA的LED点阵书写屏系统的程序设计》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、EquipmentManufactringTechnologyNo.5,2010基于FPGA的LED点阵书写屏系统的程序设计苏莉萍(广西机电职业技术学院电气系,广西南宁530007)摘要:给出了基于Altera公司CycloneII系列EP2C5T144FPGA芯片设计的LED点阵书写显示屏基本原理与程序实现方案。关键词:FPGA;LED;光电笔;触摸屏中图分类号:TN873文献标识码:A文章编号:1672-545X(2010)05-0084-03近年来,在数字系统设计中逐步采用了数字系统设计自1系统结构动化(EDA,ElectronicDesignAutomation)技术。该技术借助计算机

2、在图形、数据及语言处理方面的强大功能,利用计算机来本系统核心部分选用基于Altera公司CycloneII系列辅助完成数字系统的设计,较原来传统数字系统设计技术有EP2C5T144芯片的核心板,负责控制LED点阵屏的驱动,并了突破性的发展。通过核心板I/O扩展键盘选择按钮、光电笔和LED数码管。其中核心板部分的顶层设计图如图1所示。可以看出,系统由本文采用EDA技术,用EP2C5T144FPGA芯片设计了FPGA中的扫描控制模块、只读存储器ROM和FPGA外面的LED点阵书写显示屏系统,在LED屏上实现点亮、划亮、反LED点阵显示模块、功能模块构成。显、整屏擦除、笔画擦除、写多字等触摸屏实现的

3、功能。系统利用FPGA内部的物理资源,将只读存储器ROM和主要功能模2扫描控制模块块设计在FPGA内部。充分显示了EDA技术设计的灵活性,同时也大大提高了系统的集成度和稳定性。本文系统的LED点阵模块如图2所示,由32×32=1024scanningOUTPUTNPUTen_154[1..0]clkclken_154[1..0]OUTPUTy_scan_cantrVCCdata_154[7..0]scan_enx_scan[7..0]OUTPUTy_scan[31..0]y_out[31..0]y_data[31..0]y_scan[31..0]data[0..0]x_coordinate[4

4、..0]y_coordinate[4..0]inst1instledxianshiram_4OUTPUTclkseg7[7..0]clkseg_endata[9..0]OUTPUTdig7[7..0]INPUTNOTpanpanscan_enseg_enVCCinst2key[7..0]poit_coordinate[9..0]keydata[2..0]INPUTx_coordinate[4..0]to_seg[2..0]key[7..0]VCCy_coordinate[4..0]q[0..0]inst4inst3图1核心板顶层设计图个LED发光二极管组成。(1)LED点阵屏及驱动电路。32×

5、32LED点阵屏由16块8×8LED点阵拼接而成。每一块点阵都有8行8列,因此总3模块分析共有32根行控制线和32根列控制线。在LED点阵驱动电路中,行驱动采用2片4线/16线译码器74HC154,该芯片使能电路主要分为LED驱动电路、光电笔、模式与坐标显示板允许的情况下,芯片根据输入端A0~A3的不同,输出端Y0~等部分。Y15中的一个输出低电平。选用2片74HC154仅占用10个收稿日期:2010-02-22作者简介:苏莉萍(1981—),女(壮族),广西南宁人,讲师,工程师,工程硕士,主要研究方向为FPGA、数字通信。84《装备制造技术》2010年第5期FPGA的I/O口,节省了I/O口

6、资源。列驱动使用FPGA的32个I/O外加三极管TIP127直接驱动,当TIP127的基极控制电压为低时,TIP127导通,电源通过发射极、集电极后输出高电平驱动点阵相应的列,TIP127基极最大电流为5A,可以承受点阵屏全亮时的电流,并且支持多个点阵屏的级联。(2)光电笔。光敏二极管和Rp1组成分压电路,当没有光照时,反向接在电路里的光敏二极管内阻非常大,三极管基极为高电平,三极管导通,集电极电压减小,经过运放之后,输出图3系统测试图高电平;当有光照时,运放输出端输出低电平。调节Rp1可调节灵敏度,调节Rp2可以调节运放的放大倍数。光电笔检测电(3)模式与坐标显示模块。手写屏模式与坐标显示模

7、块,路如图2所示。用于显示光电笔在屏幕上的X和Y坐标(原点坐标按要求定义为屏幕右上角LED点)、休眠的定时时间,以及当前的工作VCC模式(点亮、划亮等7个模式),显示模块采用了8位数码管显示,因此保留了FPGA的16个I/O口作为该模块数码管的段R6RP2RP1U1选控制线和位选控制线。当三极管基极电压为低时,三极管导R2IN-V+VCC通,相应的数码管位被选通,考虑到FPGA的I/O口输出的高I

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。