基于FPGA的DDS信号发生器的设计开题报告

基于FPGA的DDS信号发生器的设计开题报告

ID:39296039

大小:82.00 KB

页数:8页

时间:2019-06-29

基于FPGA的DDS信号发生器的设计开题报告_第1页
基于FPGA的DDS信号发生器的设计开题报告_第2页
基于FPGA的DDS信号发生器的设计开题报告_第3页
基于FPGA的DDS信号发生器的设计开题报告_第4页
基于FPGA的DDS信号发生器的设计开题报告_第5页
资源描述:

《基于FPGA的DDS信号发生器的设计开题报告》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、宁夏大学新华学院毕业设计(论文)开题报告题目:基于FPGA的DDS信号发生器的设计与实现系(部)信息与计算机科学系专业电子信息工程专业学生沈睿学号12007247231班号07(2)指导教师何振中开题报告日期2010年11月19日宁夏大学新华学院说明一、开题报告应包括下列主要内容:1.通过学生对文献论述和方案论证,判断是否已充分理解毕业设计(论文)的内容和要求2.进度计划是否切实可行;3.是否具备毕业设计所要求的基础条件。4.预计研究过程中可能遇到的困难和问题,以及解决的措施;5.主要参考文献。二、如学生首次开题报告未通过,需在一周内再进行一次。三、开题报告由指导教师填写意

2、见、签字后,统一交所在系(部)保存,以备检查。指导教师评语:指导教师签字:检查日期:一、课题背景直接数字频率合成(DirectDigitalSynthesizer,简称:DDS)技术是一种新的全数字的频率合成原理,它从相位的角度出发直接合成所需波形。这种技术由美国学者J.Tiercy,M.Rader和B.Gold于1971年首次提出,但限于当时的技术和工艺水平,DDS技术仅仅在理论上进行了一些探讨,而没有应用到实际中去。近30年来,随着超大规模集成(VeryLargeScaleIntegration,简称:VLSI)、复杂可编程逻辑器件(ComplexProgrammabl

3、eLogicDevice,简称:CPLD)、现场可编程门阵列(FieldProgrammableGateArray,简称:FPGA)等技术的出现以及对DDS理论的进一步探讨,使得DDS得到了飞速的发展。由于其具有频率转换快、分辨率高、频率合成范围宽、相位噪声低且相位可控制的优点,因此,DDS技术常用于产生频率快、转换速度快、分辨率高、相位可控的信号,广泛应用于电子测量、调频通信、电子对抗等领域。近年来,已有DDS技术的波形发生器陆续被研制、生产和投入应用。二、目的和意义信号源是一种基本的电子设备,广泛应用于通信,雷达,测控,电子对抗以及现代化仪器仪表等领域,是一种为电子测量

4、工作提供符合严格技术要求的电信号设备,和示波器、电压表、频率计等仪器一样是最普遍、最基本也是应用最广泛的的电子仪器之一,几乎所有电参量的测量都要用到信号发生器。综上所述,不论是在生产还是在科研与教学上,信号发生器都是电子工程师信号仿真试验的最佳工具。随着现代电子技术的飞速发展,现代电子测量工作对信号发生器的性能提出了更高的要求,不仅要求能产生正弦信号源、脉冲信号源,还能根据需要产生函数信号源和高频信号源,信号源常有三方面的用途:(1)激励源,作为某些电器设备的激励信号。(2)信号仿真,当要研究一个电气设备在某种实际环境下所受的影响时,需要施加具有与实际环境相同特性的信号,加

5、高频干扰信号,这是旧需要对干扰信号进行仿真。(3)校准源,用于对一般信号源进行校准或对比,有时称为标准源。而传统信号发生器采用专用芯片,成本高,控制方式不灵活,已经越来越不能满足现代电子测量的需要,正逐步退出历史舞台。可见,为适应现代电子技术的不断发展和市场要求,研究制作高性能的任意波形发生器十分有必要,而且意义重大。基于FPGA的DDS信号发生器,由于可以获得很高的频率稳定度和精确度,同时可以根据需要方便地实现各种比较复杂的调频、调相和调幅功能,因此发展非常迅速,尤其是最近随着现代电子技术的不断发展,其应用更是有了质的飞跃。目前我国已经开始研制信号发生器,并获得了可喜的成

6、果,但总的来说,我国波形发生器还没有形成真正的产业,并且我国目前在波形发生器的的种类和性能都与国外同类产品存在较大的差距,因此加紧对这类产品的研制显得迫在眉睫。三、拟采用方案的论述1、技术指标本次设计要求利用FPGA设计DDS信号发生器,利用QuartusII软件对信号发生器进行电路设计功能仿真,并对仿真结果进行分析。量化的技术指标:(1)能够输出典型的方波,三角波,正弦波。(2)输出量化位数:8位(3)输出频率≤2MHz2、DDS基本原理直接数字式频率合成(DDS)技术是近年来随着数字集成电路和微电子技术的发展而迅速发展起来的一种新的频率合成技术。其基本原理就是将波形数据

7、先存储起来,然后在频率控制字的作用下,通过相位累加器从存储器中读出波形数据,最后经过数/模转换和低通滤波后输出频率合成。这种频率合成方法可以获得高精度频率和相位分辨率、快速频率转换时间和低相位噪声的频率信号,而且结构简单集成度高。下图1为利用FPGA设计DDS信号发生器的结构框图。该系统可实现标准的方波、三角波和正弦波输出。其中相位累加器是一个带有累加功能的加法器,它以设定的频率控制字作为步长来进行加法运算,当其和满时清零,并进行重新运算,相位寄存器它主要作用是接受发送来的相位控制字数据并进行寄存,当下一个时钟到来

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。