quartus调用modelsim

quartus调用modelsim

ID:40753854

大小:875.50 KB

页数:6页

时间:2019-08-07

quartus调用modelsim_第1页
quartus调用modelsim_第2页
quartus调用modelsim_第3页
quartus调用modelsim_第4页
quartus调用modelsim_第5页
资源描述:

《quartus调用modelsim》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、QUARTUS调用MODELSIM仿真1.安装好Quartus及与之相对应的ModelSim-Altera软件。2.打开Quaruts,找到Tools—Options,在Options菜单中选择EDAToolsOptions,在ModelSim—Altera一栏中设置安装路径,注意最后选择win32aloem文件夹。3.打开需要仿真的工程。在工程—Settings下找到Simulation选项。按图示设置好相应选项。4.全编译工程。完成后在Processing选项下选择StartTestBenchTemplateWrite生成TestBench文件。TestBench模板存于

2、工程目录下的simulation—modelsim文件夹中,后缀为.vt的文件。5.打开生成的TestBench文件。添加必要的激励和复位信号。6.继续在工程—Settings—Simulaion下设置TestBench路径。在弹出的对话框中设置,第一栏为testbench文件名,第二栏为tentbench中模块名,若用verilog编写代码,模板默认生成的模块名后缀为_vlg_tst,可以在此处设置仿真结束时间,最后添加testbench文件。7.完成后在tools下选择EDARTLSimulaion,即可调用Modelsim进行功能仿真。8.Modelsim自动对文件进行

3、编译,最后生成仿真波形。

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。