多进制数字振幅调制(MASK)系统.ppt

多进制数字振幅调制(MASK)系统.ppt

ID:55893197

大小:104.00 KB

页数:17页

时间:2020-06-13

多进制数字振幅调制(MASK)系统.ppt_第1页
多进制数字振幅调制(MASK)系统.ppt_第2页
多进制数字振幅调制(MASK)系统.ppt_第3页
多进制数字振幅调制(MASK)系统.ppt_第4页
多进制数字振幅调制(MASK)系统.ppt_第5页
资源描述:

《多进制数字振幅调制(MASK)系统.ppt》由会员上传分享,免费在线阅读,更多相关内容在PPT专区-天天文库

1、8.12多进制数字振幅调制(MASK)系统多进制数字振幅调制(MASK)多进制数字振幅调制又称多电平振幅调制,它用高频载波的多种振幅去代表数字信息。左图为四电平振幅调制,高频载波有u0(t)、u1(t)、u2(t)、u3(t)四种。振幅为0、1A、2A、3A,分别代表数字信息0、1、2、3或者双比特二进制输入信息00、01、10、11进行振幅调制。已调波一般可表示为g(t)是高度为1、宽度为TS的矩形脉冲,且有为易于理解,将波形上图所示。显然图(c)中各波形的叠加便构成了图(b)的波形。由上图可见,M进制ASK信号是M个二进制ASK信号的叠加。那么,MASK信号的功率谱便是M个二进制

2、ASK信号功率谱之和。因此,叠加后的MASK信号的功率谱将与每一个二进制ASK信号的功率谱具有相同的带宽。所以其带宽MASK信号的产生MASK信号与二进制ASK信号产生的方法相同,可利用乘法器实现。解调也与二进制ASK信号相同,可采用相干解调和非相干解调两种方式。M进制振幅调制方框图实现多电平调制的方框原理如上图所示,它与二进制振幅调制的方框原理非常相似。不同之处是在发信输入端增加了2-M电平变换,相应在接收端应有M-2电平变换。另外该电路的取样判决器有多个判决电平,因此多电平调制的取样判决电路比较复杂。实际系统中,取样判决电路可与M-2电平变换合成一个部件,它的原理类似于A/D变换

3、器。多电平解调与二进制解调相似,可采用包络解调或同步解调。多进制数字振幅调制与二进制振幅调制相比有如下特点:(1)在码元速率相同的条件下,信息速率是二进制的log2M倍。(2)当码元速率相同时,多进制振幅调制带宽与二进制相同。(3)多进制振幅调制的误码率通常远大于二进制误码率。当功率受限时,M越大,误码增加越严重。(4)多进制振幅调制不能充分利用发信机功率。MASK调制电路VHDL程序与仿真MASK调制方框图clkstart基带信号分频器串/并译码ASK调制D/A调制信号FPGAMASK调制电路符号MASK调制VHDL程序与仿真--文件名:MASK--功能:基于VHDL硬件描述语言,

4、对基带信号进行MASK调制--说明:这里MASK中的M为4--最后修改日期:2004.2.13libraryieee;useieee.std_logic_arith.all;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entityMASKisport(clk:instd_logic;--系统时钟start:instd_logic;--开始调制信号x:instd_logic;--基带信号y:outstd_logic_vector(7downto0));--8位DAC数据endMASK;architecturebeh

5、avofMASKissignalq:integerrange0to7;--计数器signalqq:integerrange0to3;--计数器signalxx:std_logic_vector(3downto0);--并行数据寄存器signalyy:std_logic_vector(7downto0);--8位DAC数据寄存器beginprocess(clk)--此进程完成基带信号的串并转换,--完成4位并行数据到8位DAC数据的译码beginifclk'eventandclk='1'thenifstart='0'thenq<=0;elsifq=0thenq<=1;xx(3)<=x;

6、ifxx(3)='1'thenyy<=xx&"1111";--if语句完成4位并行数据到8位DAC数据转换elsifxx(2)='1'thenyy<=xx&"1011";elsifxx(1)='1'thenyy<=xx&"0111";elsifxx(0)='1'thenyy<=xx&"0011";elseyy<=xx&"0000";endif;elsifq=2thenq<=3;xx(2)<=x;elsifq=4thenq<=5;xx(1)<=x;elsifq=6thenq<=7;xx(0)<=x;elseq<=q+1;endif;endif;endprocess;process(cl

7、k)--对8位DAC数据进行ASK调制beginifclk'eventandclk='1'thenifstart='0'thenqq<=0;elsifqq<2thenqq<=qq+1;y<="00000000";elsifqq=2thenqq<=3;y<=yy;elseqq<=0;endif;endif;endprocess;endbehav;MASK调制程序仿真图及注释(MASK调制VHDL程序仿真仿真全图)(MASK调制VHDL程序仿真局部放大图)

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。