电子锁实验报告

电子锁实验报告

ID:78155100

大小:15.29 KB

页数:12页

时间:2022-01-31

电子锁实验报告_第1页
电子锁实验报告_第2页
电子锁实验报告_第3页
电子锁实验报告_第4页
电子锁实验报告_第5页
电子锁实验报告_第6页
电子锁实验报告_第7页
电子锁实验报告_第8页
电子锁实验报告_第9页
电子锁实验报告_第10页
资源描述:

《电子锁实验报告》由会员上传分享,免费在线阅读,更多相关内容在应用文档-天天文库

1、电子锁实验报告电子密码锁设计设计任务及要求分析设计一个电子密码锁,在锁开的状态下输入密码,设置的密码共4位,用数据开关K1~K10分别代表数字1,2,,9,0,输入的密码用数码管显示,最后输入的密码显示在最右边的数码管上,即每输入一位数,密码在数码管上的显示左移一位。可删除输入的数字,删除的是最后输入的数字,每删除一位,密码在数码管的显示右移一位,并在左边空出的位上补充"0'。用一位输出电平的状态代表锁的开闭状态。根据题目要求,本次设计包括键盘输入电路的设计,输出显示电路的设计,密码控制电路。其中输出显示

2、电路包括数码管显示电路和密码锁开关状态显示电路。方案比较及认证在本次设计中,我们使用的Altera公司的FPGA芯片EPF10K10LC84-3。由于采用VHDL语言设计,使用FPGA实现,因而体积小,功耗低、性能特别灵活,稍加修改就可以改变密码的位数和输入密码的次数,因而升级和维护都很方便,而且容易做成ASIC芯片,具有较好的应用前景。但由于结构还比较简单,有待进一步完善。系统原理阐述在本实验中采用的是VHDL编程,通过文本编辑方式建立模块,通过原理图方式将生成的图形符号连接,然后再下载,进行硬件的仿真

3、。为达到密码锁的以上功能,可将电子密码锁分为以下几个模块进行设计:按键输入电路:2、密码控制电路:包括密码删除、修改与检验。3、输出显示电路。其中,最为关键的是密码控制电路即主电路的设计。可以为主电路分配如下管脚:其中set为密码设置端口,check为密码检验端口,close为关锁端口,back为删除密码端口,clk时钟输入端口,dn[3..]为数字输入端口,lock密码锁状态显示端口。在此电路中每输一位数,密码在数码管上左移一位。设制删除密码back,每按下一次back,删除最后输入的数字,左边空处补0

4、。设置密码确认信号set,当四位密码输入完毕,按下set,设置的密码被存储。设置密码锁状态信号lock,lock=0表示锁未开,lock=1表示锁开,同时设置关锁信号close,按下close,则锁关闭。设置密码检验信号check,在lock=0下从数据开关书去四位开锁数字,按下check,若数据等于设置的密码或万能密码,则lock=1设计方案结构图根据本次设计的特点,可以根据对密码的处理方式分为密码输入模块,密码存储模块,密码设置模块,密码显示模块。如下图所示:密码存储模块密码控制模块密码显示模块密码输

5、入模块图1其中,密码输入模块即为按键编码电路,其原理图如下。其中n[9..0]为按键输入端口,sout[3..0]为输出端口。按键输入编码通过案件输入高低电平,每按一个键通过编码显示对应的数字。图2密码存储模块即使用EPF10K10LC84-3芯片中的寄存器模块。密码控制模块的原理图如所示。其中set为密码设置端口,check为密码检验端口,close为关锁端口,back为删除密码端口,clk时钟输入端口,dn[3..]为数字输入端口,sout[15..0]为16位输出端口,lock密码锁状态显示端口。图

6、3软件设计软件思想为了简化设计过程,根据fpga的设计特点,我在本次设计过程中将整个软件设计分成不同的功能模块:键盘输入模块,数码管显示模块,密码存储模块,密码匹配模块。对上述每个模块进行程序编程和波形仿真正确以后,再把它们添加至工程下进行整体编译,管脚分配和整体原理图生成。最后对整个工程进行功能仿真。流程图整体流程图图4密码设置流程图图6是否有数据输入?数值显示并提示正确与否开始密码匹配判断?是否重新设置密码?新密码确认并存储结束NOYESYESNONO开始设置密码是否有键值输入?存储密码并显示功能键或

7、数值键?进行功能设置密码是否设置完成?结束设置NOYESYESNO源程序及程序解释键盘输入的电路的VHDL语言源程序libraryieee;---定义实体useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entitytraisport(n:instd_logic_vector(9downto0);---定义按键输入端口sout:outstd_logic_vector(3downto0));----定义编码输入端口endtra;archite

8、ctureatoftrais-----定义结构体signaltemp:std_logic_vector(3downto0);beginprocess(n)begincasenis----输入键编码when0000000001=temp=0001;when0000000010=temp=0010;when0000000100=temp=0011;when0000001000=temp=0100;when0000010000=te

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。