eda课程设计---节日彩灯控制器

eda课程设计---节日彩灯控制器

ID:9385308

大小:280.00 KB

页数:12页

时间:2018-04-29

eda课程设计---节日彩灯控制器_第1页
eda课程设计---节日彩灯控制器_第2页
eda课程设计---节日彩灯控制器_第3页
eda课程设计---节日彩灯控制器_第4页
eda课程设计---节日彩灯控制器_第5页
资源描述:

《eda课程设计---节日彩灯控制器》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、课程设计课程设计名称:EDA课程设计12电子信息工程技术专业课程设计任务书学生姓名专业班级国电专1005学号题目节日彩灯控制器课题性质工程设计课题来源自拟课题指导教师同组姓名主要内容要求控制器能控制8路彩灯按照两种节拍、四种花型循环变化。两种节拍分别为0.25秒和0.5秒。四种花型分别是:①8路彩灯从左至右按次序渐亮,全亮后逆次序渐灭。②从中间到两边对称地渐亮,全亮后仍由中间向两边逐次渐灭。③8路彩灯分成两半,从左至右顺次渐亮,全亮后则全灭。④从两边到中间对称地渐亮,全亮后全灭。任务要求1根据设计题目要求进行方案设计并编写相应程序代码2对编写的VHDL程序代码进行编译和仿真3总结

2、设计内容,完成课程设计说明书参考文献[1]焦素敏.EDA课程设计指导书.郑州:河南工业大学,2008[2]焦素敏.EDA应用技术.北京:清华学出版社,2005[3]朱正伟.EDA技术及应用.北京:北京大学出版社,2005[4]赵富,李立军EDA技术基础.北京:北京大学出版社,2007[5]曹昕臣,聂春燕EDA技术实验与课程设计.北京:清华大学出版社,2007审查意见指导教师签字:王洪群教研室主任签字:张庆辉2012年12月20日说明:本表由指导教师填写,由教研室主任审核后下达给选题学生,装订在设计(论文)首页121设计任务及要求本次课程设计是设计一个8路彩灯控制器,能够控制8路彩

3、灯按照两种节拍,四种花型循环变化。两种节拍分别为0.25s和0.5s。四种花型分别是:(1)8路彩灯分成两半,从左至右顺次渐渐点亮,全亮后则全灭。(2)从中间到两边对称地渐渐点亮,全亮后仍由中间向两边逐次熄灭。(3)8路彩灯从左至右按次序依次点亮,全亮后逆次序依次熄灭。(4)从两边到中间对称地渐亮,全亮后全灭。设计完成后,在试验箱上进行仿真,与实验需要达到的要求进行对比,验证是否正确。通过本次课程设计,需达到以下目的:1.熟悉Max+plusⅡ软件的使用方法,使自己能更加熟练的操作.并能熟悉使用原理图输入设计法和VHDL文本输入设计法进行设计,完成设计任务.2.增强自己实际动手能

4、力,独立解决问题的能力.3.通过对课程设计对以前所学的知识进行更新及巩固.2设计原理及总体框图设计原理:彩灯状态图序号Q7Q6Q5Q4Q3Q2Q1Q0说明000000000第一种花型:8路彩灯分成两半,从左至右顺次渐亮,全亮后则全灭。11000100021100110031110111041111111150000000012第二种花型:从中间到两边对称地渐亮,全亮后仍由中间向两边逐次渐灭。60001100070011110080111111091111111110111001111111000011121000000113000000001410000000第三种花型:顺序15

5、110000001611100000171111000018111110001911111100201111111021111111112211111110第三种花型:逆序2311111100241111100025111100002611100000271100000028100000002900011000第四种花型:渐亮3000111100310111111032111111113300000000总体框图8路彩灯的四种花型控制模块分频模块二选一模块输出CLK分频模块:把时钟脉冲二分频,得到另一个时钟脉冲,让这两种时钟脉冲来交替控制花型的速度。12二选一模块:选择两种频率中

6、的一个控制彩灯的花型。8路彩灯的四种花型控制模块:整个系统的枢纽,显示彩灯亮的情况。3程序设计3.1分频模块设计程序:libraryieee;useieee.std_logic_1164.all;entityfenpin2isport(clk:instd_logic;clkk:outstd_logic);endfenpin2;architecturebehavoffenpin2isbeginprocess(clk)variableclkk1:std_logic:='0';beginifclk'eventandclk='1'thenclkk1:=notclkk1;endif;clk

7、k<=clkk1;endprocess;endbehav;3.2二选一模块设计12程序:libraryieee;useieee.std_logic_1164.all;entitymux21isport(a,b,s:instd_logic;y:outstd_logic);endmux21;architecturebehaveofmux21isbeginprocess(a,b,s)beginifs='0'theny<=a;elsey<=b;endif;endprocess;endbe

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。