eda课程设计---数字频率计

eda课程设计---数字频率计

ID:9841066

大小:235.50 KB

页数:13页

时间:2018-05-12

eda课程设计---数字频率计_第1页
eda课程设计---数字频率计_第2页
eda课程设计---数字频率计_第3页
eda课程设计---数字频率计_第4页
eda课程设计---数字频率计_第5页
资源描述:

《eda课程设计---数字频率计》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、EDA课程设计报告题目:数字频率计姓名:院系:电气学院专  业:电子信息工程指导教师:完成时间:2012年6月5日目录1课程设计题目、内容与要求……………………………………1.1设计内容……………………………………………………1.2具体要求……………………………………………………2系统设计…………………………………………………………2.1设计思路……………………………………………………2.2系统原理与设计说明3系统实现…………………………………………………………4系统仿真…………………………………………………………5硬件验证(操作

2、)说明…………………………………………6总结……………………………………………………………7参考书目………………………………………………………1课程设计题目、内容与要求1.1课程设计的题目:数字频率计设计1.2课程设计内容:(1)设计一个能测量方波信号的频率计;(2)测量范围是0-999999Hz;(3)结果用十进制数显示。2系统设计2.1设计思路:2.1.1数字频率计是一种用十进制数字显示被测信号频率的数字测量仪器.它的基本功能是测量方波信号及其他各种单位时间内变化的物理量。本数字频率计采用自顶向下的设计思想,通过闸门提供的1s

3、闸门时间对被测信号进行计数及测出的被测信号的频率,测出的频率再通过译码器译码后输出给显示器显示。根据系统设计的要求,数字频率计的电路原理框图如下:4位十进制计数器锁存电路译码电路计数器闸门控制电路片选电路数据选择电路LED数码显示待测输入信号4MHZ时钟4MHZ时钟图1数字频率计电路原理框图2.2系统原理与设计说明系统各个模块的功能如下:2.2.1标准时钟发生电路模块借用实验板上标准时钟发生电路,为计数闸门控制电路提供一个标准8Hz信号。2.2.2计数器闸门控制电路模块计数器闸门控制电路就是产生三个控制信号,即计数器复位信号、4位

4、十进制计数器允许计数信号、锁存信号。2.2.3锁存电路模块锁存电路就是为了让LED数码管在信号来临之前保持计数值不变。2.2.4计数器复位电路模块计数器复位电路是让频率计恢复到计数初始态。2.2.5LED数码管驱动电路模块LED数码管驱动电路就是为LED数码管提供驱动电压。3系统实现此部分先讲各个模块再讲模块联调的实现。3.1各部分模块的源程序⑴模块FEN见图1.1,通过对4MHz时钟进行分频以获得0.5Hz时钟,为核心模块CORNA提供1s的闸门时间。libraryieee;useieee.std_logic_1164.all;

5、entityfenisport(clk:instd_logic;q:outstd_logic);endfen; architecturefen_arcoffenisbeginprocess(clk)variablecnt:integerrange0to3999999;variablex:std_logic;beginifclk'eventandclk='1'thenifcnt<3999999thencnt:=cnt+1;elsecnt:=0;x:=notx;endif;endif;q<=x;endprocess;endfen_ar

6、c;⑵模块SEL见图1.2,该模块产生数码管的片选信号。libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entityselxisport(clk:instd_logic;sel:inoutstd_logic_vector(2downto0));endselx; architecturesel_arcofsexlisbeginprocess(clk)variablecnt:std_logic_vector(2downto0);beginifc

7、lk'eventandclk='1'thencnt:=cnt+1;endif;sel<=cnt;endprocess;endsel_arc;⑶核心模块CORNA见图1.3,该模块是整个程序的核心,它能在1s的闸门时间里完成对被测信号频率计数的功能,并通过选择输出数据实现自动换档的功能。libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entitycornaisport(clr,sig,door:instd_logic;alm:outstd_l

8、ogic;q3,q2,q1,q0,dang:outstd_logic_vector(3downto0));endcorna; architecturecorn_arcofcornaisbeginprocess(door,sig)variablec0

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。