电子设计自动化技术

电子设计自动化技术

ID:15721187

大小:397.54 KB

页数:8页

时间:2018-08-05

电子设计自动化技术_第1页
电子设计自动化技术_第2页
电子设计自动化技术_第3页
电子设计自动化技术_第4页
电子设计自动化技术_第5页
资源描述:

《电子设计自动化技术》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、电子设计自动化技术(EDA)实习报告学生姓名:靳庆琛学号:20111001524指导老师:王巍中国地质大学(武汉)机械与电子信息学院2013年7月实习一、3/8译码器和半加器的实现一、实验目的:1.学习QuartusⅡ的基本操作;2.熟悉教学实验箱的使用3.设计一个3/8译码器和一个半加器;4.初步掌握VHDL语言和原理图的设计输入,编译,仿真和调试过程;二、实验说明:1.本次实验要求应用VHDL语言实现一个3/8译码器。将程序下载到实验箱上,分别用按键和LED作为输入和输出对结果进行验证,进一

2、步熟悉所用EDA实验箱系统。2.采用原理图的方式实现一个半加器。本实验的具体电路自己通过真值表或布尔方程式得出,建立工程和和块原理图文件,并进行编译和仿真,验证设计的正确性。所用器件:EDA实验箱、EP1K10TC100-3器件。三、实验要求:1.用VHDL语言编写3/8译码器(if语句,case语句,布尔方程,以及并行语句中的when„„else语句,with„„select„„when);2.编写3/8译码器模块的源程序,完成半加器的原理图;3.在QuartusII平台上仿真;4.在实验板上

3、面实现这个3/8译码器。四、总结报告要求:1.半加器原理图3/8译码器程序:libraryieee;useieee.std_logic_1164.all;entitydec3_8isport(a,b,c:instd_logic;y:outstd_logic_vector(7downto0));--definepotr,3input,8outputenddec3_8;architecturebehavofdec3_8issignalinput:std_logic_vector(2downto0);

4、begininput<=c&b&a;process(input)begincaseinputiswhen"000"=>y<="00000001";when"001"=>y<="00000010";when"010"=>y<="00000100";when"011"=>y<="00001000";when"100"=>y<="00010000";when"101"=>y<="00100000";when"110"=>y<="01000000";when"111"=>y<="10000000";wh

5、enothers=>y<="XXXXXXXX";endcase;endprocess;endbehav;2.将3/8译码器的接口按要求与七段数码管相连,3个输入按二进制确定数码管输出的数字。3.心得体会:通过实验,提高了动手能力,而且把学到数字电路的知识运用到实际的电路中去,加深对知识的理解。相对于传统电路,PLD能够更方便的根据需求设计出电路,而且能够通过仿真避免一些错误,节省时间、资源。实习二、BCD七段显示译码器实验一、实验目的:1.了解和熟悉组合逻辑电路的设计方法和特点;2.掌握LED显

6、示器的工作原理;3.设计一个BCD七段显示的译码器,并在实验箱上面实现你的译码器。二、实验说明:LED数码显示器是数字系统实验里面经常使用的一种显示器件,因为它经常显示的是十进制或十六进制的数,所以我们就要对实验里面所用到的二进制数进行译码,将它们转换成十进制的或是十六进制的数。LED数码显示器分为共阴和共阳两种,本实验使用的是共阴的连接,高电平有效。输入信号为D0,D1,D2,D3,相应的输出8段为a、b、c、d、e、f、g、Dp。它们的关系表格如下:三、实验要求:1、用VHDL语言进行描写;

7、代码必须有注释!2、进行波形仿真测试;3、严格按照实验流程进行;4、管脚映射按芯片要求进行,在数码管上显示译码后的数字;5、查看资料,描述七段译码器的工作原理;四、实验总结:1、的BCD七段译码器的源程序:libraryieee;useieee.std_logic_1164.all;entitydecl7sisport(a:instd_logic_vector(3downto0);led7s:outstd_logic_vector(6downto0));enddecl7s;architectur

8、ebehaveofdecl7sisbeginprocess(a)begincaseaiswhen"0000"=>led7s<="0111111";when"0001"=>led7s<="0000110";when"0010"=>led7s<="1011011";when"0011"=>led7s<="1001111";when"0100"=>led7s<="1100110";when"0101"=>led7s<="1101101";when"0110"=>led7s<="1111101";whe

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。