伪随机序列与误码检测

伪随机序列与误码检测

ID:37051896

大小:727.60 KB

页数:105页

时间:2019-05-11

伪随机序列与误码检测_第1页
伪随机序列与误码检测_第2页
伪随机序列与误码检测_第3页
伪随机序列与误码检测_第4页
伪随机序列与误码检测_第5页
资源描述:

《伪随机序列与误码检测》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、第9章 伪随机序列与误码检测原理、建模与设计内容提要本章介绍伪随机序列的概念、m序列的产生原理及其性质,还介绍了m序列产生器的建模与设计方法。本章的第二部分内容是就通信中的误码检测技术介绍了误码性能指标、误码测试信号、误码测试方式以及误码检测原理及误码检测器等。本章的第三部分内容是以简单的逐位比较型误码检测器为例,重点介绍了逐位比较误码检测原理、状态搜索与同步保护等模块的VHDL建模与程序设计。知识要点1.伪随机序列的概念、m序列的产生原理及其性质。2.m序列产生器的VHDL建模与设计方法;3.误码性能指标、误码测试信号、误码测试方式;4.误码检测原理、误码检测器的种类及工作原

2、理;5.简单误码检测器的建模与VHDL程序设计教学建议1.掌握伪随机序列的概念与应用、m序列的产生原理与性质。2.分析和理解m序列产生器的建模与设计方法。3.熟悉通信中误码性能指标,了解误码测试信号和误码测试方式;4.掌握误码检测的基本原理以及简单误码检测器的建模与设计方法,强调位同步与状态同步技术在误码检测以及误码检测器设计中的重要性。5.建议学时数为6学时。9.1引 言伪随机噪声具有类似于随机噪声的一些统计特性,同时又便于重复产生和处理,因而获得广泛的应用。目前最广泛应用的伪随机噪声都是由数字电路产生的周期序列得到的。这种周期性序列称为伪随机序列。由于在信道中噪声的加入,使

3、得在数字通信中在接收端不可避免地会出现误码,误码率是检验通信设备传输质量的重要指标,误码的检测在通信中必不可少,由此,产生了各种各样的误码检测器。误码测试的方法可分为两大类:中断通信业务的误码测试和不中断通信业务的误码测试。第一种主要用于产品性能鉴定、系统工程交验和通信电路的定期维护和检修等;第二种主要用于系统运行的质量监测、可靠性统计等。在对通信系统的性能进行误码检测的设备中,码组发生器作为代替数字信息输入的信号源是一种不可缺少的装置。常用的码组发生器有两类:一类是伪随机码发生器。它产生的序列具有接近纯随机数字序列的特性;另一类是规则码发生器。它可通过选择开关或按键来确定规则

4、码的构成。规则码发生器的序列周期一般较短,主要用做单元部件和整机性能的测试序列。本章将在介绍伪随机序列产生的基础上,重点讨论误码检测原理、建模与设计。9.2伪随机序列及其产生原理与VHDL设计伪随机序列或称伪随机码,它是模仿随机序列的随机特性而产生的一种码字,也称为伪噪声序列或伪噪声码。在数字通信中,伪随机序列有许多种,限于篇幅,这里以被广泛使用的m序列为重点进行介绍。在通信工程应用中,常采用二进制伪随机序列,因此在序列中只有“0”和“1”两种状态。二进制伪随机序列一般是通过移位寄存器加反馈电路共同来产生的。这种反馈移位寄存器可分为线性反馈和非线性的反馈移位寄存器两种。其中由线

5、性反馈移位寄存器产生的周期最长的二进制数字序列叫做最大长度线性反馈移位寄存器序列,也称m序列。对于伪随机序列有如下几点要求:1.应具有良好的伪随机性,即应具有和随机序列类似的随机性。2.应具有良好的自相关、互相关和部分相关特性,即要求自相关峰值尖锐,而互相关和部分相关值接近于零。这是为了接收端的准确检测,以减小差错。3.要求随机序列的数目足够多,以保证在码分多址的通信系统中,有足够多的地址提供给不同的用户。4.要求设备简单,易实现,成本低。9.2.1伪随机序列发生器m序列是最被广泛采用伪随机序列之一,除此之外,还用到其它伪随机序列,如Gold序列、M序列等。本章重点介绍m序列。

6、1.最大长度线性反馈移位寄存器序列(m序列)的产生m序列产生的一般结构模型如图9-1所示。其中1,2,3,…,n是移位寄存器的编号,是各移位寄存器的状态,对应各移存器的反馈系数,表示该级移存器参与反馈,表示该级移存器不参与反馈。其中和不能等于0,这是因为意味着移位寄存器无反馈,而则意味着反馈移存器蜕化为级或更少级的反馈移存器。图9-1反馈移位寄存器的结构模型反馈函数为(模2加)(9-1)上述的反馈函数是一个线性递归函数。当级数(n)和反馈系数一旦确定,则反馈移位寄存器的输出序列就确定了。反馈移存器的级数n不同,则m序列的反馈系数也不同,表9-1(来源于参考文献[9])列出了部分

7、的m序列发生器的反馈系数,供读者使用时参考。表中给出的是八进制数值,经转换成二进制数值后,可求出相应的反馈系数。m序列的一个重要的性质是:任一m序列的循环移位仍是一个m序列,序列长度为:(9-2)2.m序列的性质(1)均衡性m序列在在一个周期内“1”和“0”的个数基本相等。具体来说,m序列的一个周期中的“0”的个数比“1”的个数少一个。(2)游程分布我们把伪随机序列中取值(“0”或“1”)相同的一段码位称为一个游程。在一个游程中包含的位数称为游程长度。把取值为“0”的游程称为“0”游程,取值

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。