数字系统设计及pld应用实验指导书

数字系统设计及pld应用实验指导书

ID:46241835

大小:368.32 KB

页数:19页

时间:2019-11-22

数字系统设计及pld应用实验指导书_第1页
数字系统设计及pld应用实验指导书_第2页
数字系统设计及pld应用实验指导书_第3页
数字系统设计及pld应用实验指导书_第4页
数字系统设计及pld应用实验指导书_第5页
资源描述:

《数字系统设计及pld应用实验指导书》由会员上传分享,免费在线阅读,更多相关内容在工程资料-天天文库

1、目录第一部分实验开发系统概述及使用说明一、实验系统概述4二、配套软件4三、系统硬件组成4四、EDA(CPLD/FPGA)设计过程框图6五、硕件安装使用说明7六、软件简介及安装8七、实验指导例程序的简单说明11第二部分实验部分实验一纟口合逻辑译码器的设计11MaxplusII软件基本操作与应用11(一)设计输入11(二)电路的编译与适配15(三)电路仿真与时序分析16(四)管脚的重新分配与定位24(五)器件的下载编程与碾件实现25实验二组合逻辑电路的设计29实验三触发器功能的模拟实现33实验四扫描显示电路的驱动36实验五计数器及时序电路39实验六数字钟

2、(综合实验)44实验七字符发生器46实验八函数信号发生器49实验九模拟信号的检测51附录54第二部分实验部分实验组合逻辑3-8译码器的设计一、实验目的:1、通过一个简矗的3-8译码器的设讣,让学生学握纽合逻辑电路的设讣方法。2、掌握纽合逻辑电路的静态测试方法。3、初步了解可编程器件设计的全过程。二、实验步骤:MaxplusII软件的基本操作与应用(一)设计输入:1、软件的启动:进入Altera软件包,打开MAX+plusII9.5软件,如图1-1所示。2、启动FileNew菜单,弹出设计输入选择窗口,如下图1-2所示:3、选择GraphicEdit

3、orFile,单击ok按钮,打开原理图编辑器,进入原理图设计输入电路编辑状态,如下图1-3所示:4、设计的输入1)放置一个器件在原理图上a.在原理图的空白单双击鼠标右键,b.在光标处输入元件名称或用鼠标点取库元件,按下0K即可。c.如果安放相同元件,只耍按住Clrl键,同时用鼠标拖动该元件复制即可。d.—个完整的电路包括:输入端口INPUT、电路元器件集合、输出端口OUTPUT。e.下图1-5为3-8译码器元件安放结果。PWJMK」唧rad]J£J凹三0企念IXiiaAHENAKIA亠fKzg:?>K龙羔2*]阿三

4、即可画出连线。3-8译码器原理图如

5、图1-6所示。图:1-63)标记输入/输出端口属性分别双击输入端口的“PIN-NAME”,当变成黑色时,即可输入标记符并回车确认;输出端口标记方法类似。本译码器的三输入断分别标记为:A、B、C;其八输出端分别为:DO、Dl>1)2、D3、D4、D5、D6、d7。如下图1-7所示。■•■06If""Q»QH«lrQDKl^WCl■»♦讥・•iim«

6、4itQ*UL"Q图:1-7D34)保存原理图单击保存按钮图标,对于新建文件,出现类似文件管理器的框图,请选择保存路径、文件名称保存原理图,原理图的扩展名为-gdf,本实验中取名为testl.gdfo4)点

7、击FileProjectsetprojecttocurrentfile设置此项目为当前文件。注意,此操作在你打开儿个原有项目文件时尤为重要,否则容易出错。至此,你已完成了一个电路的原理图设计输入的整个过程。(二〉电路的编译与适配1、选择芯片型号选择当前项H文件欲设计实现的实际芯片进行编译适用,点击AssignDcvicc菜单选择芯片,如下图1-9对话框所示。如杲此时不选择适配芯片的话,该软件将自动把所有适合本电路的芯片一一进行编译适配,这将耗费你许多时间。该例程中我们选用CPLD芯片來实现,如用7000S系列的EPM7128SLC84-6芯片;

8、同样也可以用FPGA芯片來实现,你只需在下而的对话窗口中指出具体的芯片型号即可。2、编译适配启动MAX+plusIICompiler菜单,按Start开始编译,并显示编译结果,生成F载文件。如果编译时选择的芯片是CPLD,则生成*・pof文件;如果是FPGA芯片的话,则生成*・sof文件,以备硬件下载编程时调用。同时生成*・rpl报告文件,可详细查看编译结果。如有错误待修改后再进行编译适配,如下图1-10所示。注意,此时在主菜单栏里的Processing菜单下有许多编译时的选项,视实际情况选择设坠如果说你设计的电路顺利地通过了编译,在电路不复杂的情

9、况下,就可以对芯片进行编程下载,直到设计的唤件实现,至此你已经完成了一个EDA的设计与实现的整个过程。如果你的电路有足够的复杂,那么其仿真就显得非常必要。出现如图1-26(四入管脚的重新分配与定位:启动MAX+plus11EloorplanBditor菜单命令,所示的芯片管脚白动分配画面(读者可在芯片的空口处试着双击鼠标左键,你能发现这样操作可在芯片和芯片内部z间进行•切换,可观察芯片内部的逻辑块等)。FloorplanEditor显示的是该设计项目的管脚分配图。这是由软件自动分配的。用户可随总改变管脚分配,以方便与你的外设屯路进行匹配。管脚编辑过

10、程如下:1、按下窗II左边的手动分配图标IEL所有管脚将会出现在Una^ignedNode.窗口。2、用鼠标

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。