VHDL主要描述语句(并行语句)

VHDL主要描述语句(并行语句)

ID:46948984

大小:526.00 KB

页数:52页

时间:2019-12-01

VHDL主要描述语句(并行语句)_第1页
VHDL主要描述语句(并行语句)_第2页
VHDL主要描述语句(并行语句)_第3页
VHDL主要描述语句(并行语句)_第4页
VHDL主要描述语句(并行语句)_第5页
资源描述:

《VHDL主要描述语句(并行语句)》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、第五章VHDL主要描述语句第二部分并行语句§5.2.1进程语句§5.2.2BLOCK语句§5.2.3并行过程调用语句§5.2.4元件例化语句§5.2.5并行断言语句§5.2.6生成语句5.2并行语句相对于传统的软件描述语言,并行语句结构是最具VHDL特色的。在VHDL中,并行语句具有多种语句格式,各种并行语句在结构体中的执行是同步进行的,或者说是并行运行的,其执行方式与书写的顺序无关。在执行中,并行语句之间可以有信息往来,也可以是互为独立、互不相关、异步运行的(如多时钟情况)。每一并行语句内部的语句运行方式可以有两种不同的方式,即并行执行方式(如块语句)和顺序执行

2、方式(如进程语句)。因此,VHDL并行语句勾画出了一幅充分表达硬件电路的真实的运行图景。如下图所示的是在一个结构体中各种并行语句运行的示意图。这些语句不必同时存在,在每一语句模块都可以独立异步运行,模块之间并行运行,并通过信号来交换信息。生成语句条件信号赋值语句元件例化语句并行信号赋值语句块语句进程语句并行过程调用语句信号信号信号信号信号信号ARCHITECTUREENDARCHITECTUREVHDL中的并行运行有多层含义,即模块间的运行方式可以有同时运行、异步运行、非同步运行等方式,从电路的工作方式上可以包括组合逻辑运行方式、同步逻辑运行方式和异步逻辑运行方式

3、等。并行语句在结构体中的使用格式如下:ARCHITECTURE结构体名OF实体名IS[说明(定义)语句;]BEGIN[并行语句;][并行语句;]END[ARCHITECTURE][结构体名];5.2.1进程语句进程(PROCESS)语句是最具VHDL语言特色的语句,因为它提供了一种用算法(顺序语句)描述硬件行为的方法。进程实际上是用顺序语句描述的一种进行过程,也就是说进程用于描述顺序事件。PROCESS语句结构包含了一个代表着设计实体中部分逻辑行为的、独立的顺序语句描述的进程。一个结构体中可以有多个并行运行的进程结构,而每一个进程的内部结构却是由一系列顺序语句来构

4、成的。PROCESS语句的表达格式如下:[进程标号:]PROCESS[(敏感信号参数表)][IS][进程说明部分];BEGIN顺序描述语句;ENDPROCESS[进程标号];PROCESS组成PROCESS语句结构是由三个部分组成的,即进程说明部分、顺序描述语句部分和敏感信号参数表。(1)进程说明部分主要定义一些局部量,可包括数据类型、常数、属性、子程序等。但需注意,在进程说明部分中不允许定义信号和共享变量。(2)顺序描述语句部分是一段顺序执行的语句,描述该进程的行为。PROCESS中规定了每个进程语句在它的某个敏感信号(由敏感信号参量表列出)的值改变时都必须立即

5、完成某一功能行为。它可分为赋值语句、进程启动语句、子程序调用语句、顺序描述语句和进程跳出语句等。(3)敏感信号参数表需列出启动本进程要读入的信号名(当有WAIT语句时例外)。例ARCHITECTUREartOFstatISBEGINP1:PROCESS--该进程未列出敏感信号,进程需靠WAIT语句来启动BEGINWAITUNTILCLOCK;--等待CLOCK激活进程IF(driver=‘1’)THEN--当driver为高电平时进入CASE语句CASEoutputISWHENs1=>output<=s2;WHENs2=>output<=s3;WHENs3=>ou

6、tput<=s4;WHENs4=>output<=s1;ENDCASE;ENDIF;ENDPROCESSP1;ENDARCHITECTUREart;例SIGNALcnt4:INTEGERRANGE0TO15;--注意CNT4的数据类型...PROCESS(clk,clear,stop)--该进程定义了3个敏感信号clk,clear,stop--当其中任何一个改变时,都将启动进程的运行BEGINIFclear=‘0’THENcnt4<=0;ELSIFclk'EVENTANDclk=‘1’THEN--如果遇到时钟上升沿,则……IFstop=‘0’THEN--如果sto

7、p为低电平,则进行加法计数,否则停止计数cnt4<=cnt+1;ENDIF;ENDIF;ENDPROCESS;进程设计要点进程的设计需要注意以下几方面的问题:虽然同一结构体中的进程之间是并行运行的,但同一进程中的逻辑描述语句则是顺序运行的,因而在进程中只能设置顺序语句。进程的激活必须由敏感信号表中定义的任一敏感信号的变化来启动,否则必须有一个显式的WAIT语句来激活。结构体中多个进程之所以能并行同步运行,一个很重要的原因是进程之间的通信是通过传递信号和共享变量值来实现的。进程是重要的建模工具。进程结构不但为综合器所支持,而且进程的建模方式将直接影响仿真和综合结果。

8、进程语句是

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。