集成开发环境基础.ppt

集成开发环境基础.ppt

ID:51031053

大小:7.18 MB

页数:102页

时间:2020-03-17

集成开发环境基础.ppt_第1页
集成开发环境基础.ppt_第2页
集成开发环境基础.ppt_第3页
集成开发环境基础.ppt_第4页
集成开发环境基础.ppt_第5页
资源描述:

《集成开发环境基础.ppt》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、第三章集成开发环境基础了解集成开发环境安装配置。掌握ISE工程开发流程。ISE的安装与配置ISE版本ISE4.x,ISE5.x已经很少使用ISE6.3testbench变为.v文件ISE7.1.4面向中低端芯片,支持Virtex-4,支持中文注释ISE8.2较稳定的集成开发环境ISE9.1软件规模急剧增大ISE10.x支持Virtex5ISE12.x,ISE13.x支持Virtex6,windows7系统售价约3,000美元ISE14.x支持Virtex7ISE的安装与配置ISE简介XILINX公司的FPGA集成开发环境;包括设计输入、仿真(Simulate)、综合(Synthes

2、ize)、布局布线(Place&Route)、生成BIT文件、配置、在线调试等功能;支持多种第三方工具:ModelSim,Synplify等;ISE用于FPGA逻辑设计开发,如需使用XILINX芯片的PowerPC或MicroBlaze嵌入式处理器,则使用EDK工具。ISE的安装与配置ISE7.1的安装与配置(后继版本类似)检查系统时间正常;三张光盘按顺序安装;安装7.1.4的补丁,只有增加此补丁才可以支持Virtex4系列芯片。ISE的安装与配置按照提示安装ISE的安装与配置接受许可ISE的安装与配置接受许可ISE的安装与配置接受许可ISE的安装与配置输入序列号ISE的安装与配置

3、安装路径不允许中文路径ISE的安装与配置默认设置即可ISE的安装与配置默认设置即可ISE的安装与配置确认安装ISE的安装与配置后两张光盘按照同样的步骤安装在同一目录下。安装补丁IP补丁为可选,解压覆盖即可按提示安装即可。ISE的安装与配置启动,注意检验启动提示版本是否为7.1.4ISE的安装与配置正常启动界面ModelSim的安装与配置ModelSim简介ISE中可直接调用ModelSim仿真,也可以独立使用ModelSim进行仿真。Mentor公司的HDL语言仿真软件;唯一的单内核支持VHDL和Verilog混合仿真的仿真器;对SystemC直接支持,和HDL任意混合;支持Sys

4、temVerilog的设计功能;分几种不同的版本:SE、PE、LE和OEM:SE为最高级版本,支持PC、UNIX和LINUX混合平台XE为Xilinx的OEM版本ModelSim的安装与配置0102030405ModelSimforWindows常用版本6.1X6.2X5.xX已经较少使用,仿真速度较慢;20,000美元6.0XX标号为a,b,c,d…6.5X,7.X,10.X支持Windows7及以上平台注:1)各个二级版本号不同版本间仿真库不通用;2)功能和使用方法基本相同。ModelSim的安装与配置以ModelSim6.0D的安装与配置为例注意:安装前必须检查系统时间是否正

5、常,使用时不允许时间回调。ModelSim的安装与配置选择完整版安装ModelSim的安装与配置按提示安装ModelSim的安装与配置同样不能安装在中文路径下ModelSim的安装与配置安装此步骤时一定要选“否”,否则后续无法完成,只能重新安装。ModelSim的安装与配置后续几步可任意选择ModelSim的安装与配置License选择Close,不要使用向导生成,此时先不要运行ModelSimModelSim的安装与配置配置License检查License新建一个License目录,例如C:flexlm将license.dat复制到此目录下。注:早期版本需要配置系统环境变量,6

6、.0以后版本不需要。启动ModelSimModelSim的安装与配置启动成功界面ModelSim的安装与配置前面只完成了软件的安装,SE版本不包含专门XilinxFPGA的仿真库;(XE版本包含)ModelSim仿真库的配置需要手工编译仿真库,此过程较为复杂如果二级版本号相同(如6.0d和6.0e),可以直接从已配置好的系统中进行复制,并进行库的映射先安装ISE,才能进行仿真库的编译ModelSim的安装与配置2、创建仿真库目录将安装目录下的modelsim.ini文件的只读属性去掉,否则生成的仿真库无法添加到配置文件中。1、修改配置文件在安装目录下新建目录/Xilinx/Veri

7、log一个二级目录(理论上目录名称和位置可以任意,映射正确即可)3、运行ModelSim,设置库路径ModelSim的安装与配置选择所新建的目录为仿真库目录ModelSim的安装与配置下面以unisims_ver为例,介绍编译方法目标是生成三个库unisims_versimprims_verXilinxCoreLib_verModelSim的安装与配置4、新建一个库在workspace区域右键New->LibraryModelSim的安装与配置在对话框中输入unisi

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。