基于FPGA的数字频率计设计与实现.docx

基于FPGA的数字频率计设计与实现.docx

ID:58584671

大小:59.72 KB

页数:7页

时间:2020-10-19

基于FPGA的数字频率计设计与实现.docx_第1页
基于FPGA的数字频率计设计与实现.docx_第2页
基于FPGA的数字频率计设计与实现.docx_第3页
基于FPGA的数字频率计设计与实现.docx_第4页
基于FPGA的数字频率计设计与实现.docx_第5页
资源描述:

《基于FPGA的数字频率计设计与实现.docx》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、【摘要】本文介绍了基于FPGA的数字频率计的设计方法,设计采用硬件描述语言Verilog,在软件平台QuartusⅡ9.1上完成,可以在较高的时钟频率下正常工作。该数字频率计采用测频的方法,能基本测量1Hz到16MHz之间的信号。并使用仿真软件对Verilog程序做了仿真,并完成综合布局布线,最终下载到DE2-70实验板上得到实现。【关键词】FPGA、Verilog、QuartusⅡ9.1、测评方法Abstract:ThispaperintroducesthedesignmethodofdigitalfrequencymeterbasedonFPGA,whichusehardware

2、descriptionlanguage-VeriloginsoftwaredevelopmentplatformQuartusⅡ9.1andwordinrelativelyhigh-speedclock.Thefrequencymeterusesthemethodoffrequencymeasurement,whichcouldaccuratelymeasurethefrequencyofsignalsfrom1Hzto16MHz.ThissystemusesthesimulationtooltorunanddebugtheVerilogprogran,anddesignthecir

3、cuitplacement.AgoodresultcanbeachievedwhentheprogramwasburntonthebreadboardDE2-70.Keywords:FPGA,Verilog,QuartusⅡ9.1,FrequencyMeasurement0引言数字频率计是一种基本的测量仪器,是用数字显示被测信号频率的仪器,被测信号可以是正弦波,方波或其它周期性变化的信号。如配以适当的传感器,可以对多种物理量进行测试,比如机械振动的频率,转速,声音的频率以及产品的计件等等。因此,它被广泛应用与航天、电子、测控等领域。它的基本测量原理是,首先让被测信号与标准信号一起通过

4、一个闸门,然后用计数器计数信号脉冲的个数,把标准时间内的计数的结果,用锁存器锁存起来,最后用显示译码器,把锁存的结果用液晶显示器显示出来。根据数字频率计的基本原理,本文设计方案的基本思想是分为四个模块来实现其功能,即整个数字频率计系统分为分频模块、计数模块、锁存器模块和显示模块等几个单元,并且分别用VHDL对其进行编程,实现了闸门控制信号、计数电路、锁存电路、显示电路等。而且,本设计方案还要求,被测输入信号的频率范围自动切换量程,控制小数点显示位置,并以十进制形式显示。本文详细论述了利用VHDL硬件描述语言设计,并在EDA(电子设计自动化)工具的帮助下,用大规模可编程器件(CPLD)

5、实现数字频率计的设计原理及相关程序。特点是:无论底层还是顶层文件均用VerilogHDL语言编写,避免了用电路图设计时所引起的毛刺现象;改变了以往数字电路小规模多器件组合的设计方法。整个频率计设计在一块CPLD芯片上,与用其他方法做成的频率计相比,体积更小,性能更可靠。该设计方案对其中部分元件进行编程,实现了闸门控制信号、多路选择电路、计数电路、位选电路、段选电路等。频率计的测频范围:1~16MHz。该设计方案通过了QuartusⅡ9.1软件仿真、硬件调试和软硬件综合测试。1电路设计总体框图本设计主要由8个部分组成,以CycloneⅡ芯片部分为核心展开,待测信号输入,由外部电源,复位

6、电路,单片机电路,液晶显示,标准时钟以及JTAG下载各个单元配合起来实现测试频率并在液晶显示屏上实时显示出数字频率信号,原理框图如图1所示。显示译码器锁存器计数器被测频率控制信号产生频标Gate锁存信号图1原理框图频率是周期信号在单位时间内的重复次数,电子计数器可以对一个周期信号发生的次数,进行计数。如果某一信号在T秒时间间隔内的重复次数为N次,则该信号的频率f为在直接测频率的基础上发展的多周期同步测频率法,在目前的测频系统中得到越来越多广泛的应用。将被测信号变成脉冲信号,其重复频率等于被测频率fx。时间基准信号发生器提供标准的时间脉冲信号、若其周期为1s。则门控电路的输出信号持续时

7、间亦准确地等于1s。闸门电路由标准秒信号进行控制,当秒信号来到时,闸门开通.被测脉冲信号通过闸门送到计数译码显示电路。秒信号结束时闸门关闭,计数器停止计数。由于计数器计得的脉冲数N是在1秒时间内的累计数所以被测频率fx=NHz。所以,直接测频法的测量准确度与信号的频率有关:当待测信号频率较高时,测量准确度也较高,反之测量准确度也较低。其频率测量原理如图2所示。图2频率测量原理图2系统的硬件框架设计控制的核心芯片是FPGA,其硬件框架设计如图3所示,它由两大

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。