直流电机pwm控制的fpga实现

直流电机pwm控制的fpga实现

ID:860147

大小:503.53 KB

页数:21页

时间:2017-09-21

直流电机pwm控制的fpga实现_第1页
直流电机pwm控制的fpga实现_第2页
直流电机pwm控制的fpga实现_第3页
直流电机pwm控制的fpga实现_第4页
直流电机pwm控制的fpga实现_第5页
资源描述:

《直流电机pwm控制的fpga实现》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、湖南人文科技学院课程设计报告课程名称:VHDL语言与EDA课程设计设计题目:直流电机调速控制器系别:通信与控制工程系专业:电子信息工程班级:2008级电信1班学生姓名:冯湘宇赵晨旭学号:0840910708409101起止日期:2011年06月15日~11年06月24日指导教师:田汉平教研室主任:侯海良指导教师评语:指导教师签名:年月日成绩评定项目权重成绩冯湘宇赵晨旭1、设计过程中出勤、学习态度等方面0.22、课程设计质量与答辩0.53、设计报告书写及图纸规范程度0.3总成绩教研室审核意见:教研室主任签字:年月日

2、教学系审核意见:主任签字:年月日摘要文章详细地介绍了直流电机的类型、结构、工作原理、PWM调速原理以及FPGA集成芯片。并对直流电机PWM调速系统方案的组成、硬件电路设计、程序设计及系统仿真分别进行了详细的叙述。拟开发的直流电机PWM调速装置具有调速范围宽、低功耗、可实现在线调试等特点。本系统是以FPGA为其控制核心,输入电路以键盘作为输入方式向FPGA控制系统发出控制命令,以有源晶振构成的时钟电路发出信号。控制系统接收命令后直接向H型桥式驱动电路发出PWM控制信号。输出电路主要实现正反转、起停控制、速度在线可调

3、功能。本设计已通过了实验仿真。关键词:直流电机;PWM;FPGA;有源晶振目录设计要求11、方案论证与对比11.1方案一11.2方案二22、设计原理及其实现过程32.1设计总原理32.2设计总原理图52.3模块设计和相应模块程序52.3.1PWM脉冲调制信号电路模块52.3.2二进制计数器电路模块72.3.3数字比较器模块72.3.4细分计数器电路模块82.3.5逻辑控制模块92.4电路的总仿真图112.4.1正/反转控制仿真112.4.2启/停控制仿真112.4.3加/减速仿真122.4.4仿真结果分析133、

4、课程设计结论及心得体会134、仪器仪表清单145、参考文献156、致谢15附录电路完整程序程序15直流电机调速控制器设计设计要求设计一个直流电机PWM调速控制器,并能进行正反转控制;1、方案论证与对比1.1方案一基于单片机的直流电机PWM调速方案图1基于单片机控制的PWM调速系统如图1所示为基于单片机的直流电机PWM调速方案的系统方框图。D/A转换器接在单片机AT89C51的P0引脚上,由软件编程的产生的信号从P0脚输出经D/A转换器后输出周期性线性增加的锯齿波电压,同时在模拟比较器另一端接给定的参考电压。当锯齿

5、波电压小于参考电压时输出低电平,当锯齿波电压大于参考电压时输出高电平。改变滑动电阻的值便可以改变参考电压的大小,从而改变PWM波形中高电平的宽度,改变直流电机的占空比,改变直流电机的速度。关于电机运动方向控制,本设计在单片机的P2口引出两个端口P2.1、P2.2控制直流的方向。其控制的原理是在PWM波形输出端加上两个与门,其分别与电机的方向控制端P2.1、P2.2相与,其具体的连接如图2.5。当P2.1、P2.2输出02H控制模型(P2.1=1,P2.2=0)时,三极管V1和V4导通,V2和V3截止,电机全速正转

6、。当P2.1、P2.2输出01H模型(P2.1=0,P2.2=1)时,V1和V4截止,V2和V3导通,电机全速反转。在这里需要注意的问题的是,当输出全为1时,电机刹车,全为0时,电机滑行。工作状态表如下:表1电机工作状态真值表P2.1P2.2状态V1V2V3V410正转100101反转011011刹车111100滑行0000从以上的分析可知基于单片机的直流电机PWM调速方案要用到D/A转换器、模拟比较器,外围电路比较复杂。1.2方案二基于FPGA的直流电机调速方案图2基于FPGA的直流电机调速系统如图2.所示为基

7、于FPGA的直流电机调速方案的方框图,FPGA中的数字PWM控制基于单片机PWM控制不同,用FPGA产生PWM波形,只需要FPGA内部资源就可以实现,如数字比较器、锯齿波发生器等均为FPGA内部资源,我们只要直接调用就可以。外部端口U_D、EN1、Z/F、START接在键盘电路上,CLK2和CLK0接在外部时钟电路上,所用到的时钟频率为100MHz和50MHz,其具体的连接方式如图3。其工作原理是:设定值计数器的设置PWM的占空比。当U/D=1时,输入CLK2,使设定值计数器的输出值增加,PWM的占空比增加,电机

8、转速加快;当U/D=0时,输入CLK2,使设定值计数器的输出值减小,PWM的占空比减小,电机转速变慢。在CLK0的作用下,锯齿波计数器输出周期性线性增加的锯齿波。当计数值小于设定值时,数字比较器输出高电平;当计数值大于设定值时,数字比较器输出低电平,由此产生周期性的PWM波形。旋转方向控制电路控制直流电动机转向和启/停,该电路由两个2选1的多路选择器组成,Z/F键控制选择

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。