课程设计eda电梯控制器

课程设计eda电梯控制器

ID:10480653

大小:812.50 KB

页数:21页

时间:2018-07-06

课程设计eda电梯控制器_第1页
课程设计eda电梯控制器_第2页
课程设计eda电梯控制器_第3页
课程设计eda电梯控制器_第4页
课程设计eda电梯控制器_第5页
资源描述:

《课程设计eda电梯控制器》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、目录概述2一、设计任务与要求2二、总体框图31.控制器的设计方案‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥•32.三层电梯控制器的设计思路‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥•43.三层电梯控制器的模块‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥•4三、选择器件5四、功能模块51.主控制器模块‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥•52.楼层显示模块‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥113.状态显示模块‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥14五、总体设

2、计161.顶层电路原理图‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥162.全局仿真结果‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥173.管脚分配图‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥184.硬件连接及验证情况‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥‥18六、硬件验证19七、心得体会2020三层电梯控制概述电梯的使用越来越普遍,已从原来只在商业大厦、宾馆使用,过渡到在办公室、居民楼等场所使用,并且对电梯功能的要求也不断提高,相应地其控制方式也在不停地发生变化。对于电梯的控制,传统的

3、方法是使用继电器——接触器控制系统进行控制,随着技术的不断发展,微型计算机在电梯控制上的应用日益广泛,现在已进入全微机化控制的时代。电梯的微机化控制主要有以下几种形式:①PLC控制;②单板机控制;③单片机控制;④单微机控制;⑤多微机控制;⑥人工智能控制。随着EDA技术的快速发展,CPLD/FPGA已广泛应用于电子设计与控制的各个方面。本设计就是使用CPLD/FPGA来实现对电梯的控制。一、设计任务与要求1.每层电梯入口设有上下请求开关,电梯内设有乘客到达层次的停站请求开关。2.设有电梯所处位置及

4、电梯运行模式(上升或下降)指示装置。3.电梯3秒升降一层楼的高度。4.电梯到达有停站请求的楼层后,经过1s电梯门打开,开门指示灯亮,开门4s后,电梯门关闭(开门指示灯灭),电梯继续运行,直到执行完最后一个请求信号后停在当前层。5.记忆电梯内外的所有请求信号,并按照电梯运行规则次序响应,每个请求信号保留至执行后清除。206.电梯运行规则:当电梯处于上升模式时,只响应比电梯所在位置高的上楼请求信号,由下而上逐个执行,直到最后一个上楼请求执行完毕,如更高层有下楼请求,则直接升到有下楼请求的最高层接管,

5、然后便如下降模式。当电梯处于下降模式时,则于上升模式相反。7.电梯初始化状态为一层开门。8.楼层信号用数码管显示。9.电梯工作模式利用16*16点阵显示。10.开门灯用发光二极管显示。二、总体框图1控制器的设计方案   控制器的功能模块如图1所示,包括主控制器、分控制器、楼层选择器、状态显示器、译码器和楼层显示器。乘客在电梯中选择所要到达的楼层,通过主控制器的处理,电梯开始运行,状态显示器显示电梯的运行状态,电梯所在楼层数通过译码器译码从而在楼层显示器中显示。分控制器把有效的请求传给主控制器进行

6、处理,同时显示电梯的运行状态和电梯所在楼层数。由于分控制器相对简单很多,所以主控制器是核心部分。图1电梯控制原理图202三层电梯控制器的设计思路方案一: 电梯控制器可采用状态机来实现,思路比较清晰。可以将电梯等待的每秒钟以及开门、关门都看成一个独立的状态。电梯可以通过一个统一的1秒为周期的时钟来触发状态机。根据电梯的实际工作情况,可以把状态机设置10个状态,分别是“电梯停留在第1层”、“开门”、“关门”、“开门等待第1秒”、“开门等待第2秒”、“开门等待第3秒”、“开门等待第4秒”、“上升”、“

7、下降”和“停止状态”。各个状态之间的转换条件可由设计要求所决定。方案二:将电梯的状态划分为开门、一层、二层、三层四个状态,以一层开门为电梯初始状态对每个状态进行分析;选用veryhardd模块为核心,其中up1、up2分别为一层、二层的上升请求,down2、down3分别为二层、三层的下降请求,k1、k2、k3分别为一层、二层、三层的停站请求,a1、a2、a3分别为停站请求显示,mode电梯运行模式显示;door为门的状态(“1”表示开、“0”表示关),site为电梯的楼层显示;用seg模块将楼

8、层显示的信号转换成数码管显示;用dian模块将电梯工作模式利用16*16点阵显示出来。本设计选用方案二设计。数码管显示3三层电梯控制器的模块图2三层电梯控制模块20三、选择器件1、配有QuartusⅡ6.0软件的计算机一台。2、FPGA适配器板:标准配置是EPF10K10接口板。3、16*16位发光二极管(LED)点阵。4、选用FPGA芯片。5、下载接口是数字芯片的下载接口(JTAG),主要用于FPGA芯片的数据下载。6、八位八段数码管。7、时钟源和导线若干。四、功能模块1.主控制器模块(Ver

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。