利用键盘控制点阵进行汉字显示

利用键盘控制点阵进行汉字显示

ID:11163429

大小:1009.06 KB

页数:17页

时间:2018-07-10

利用键盘控制点阵进行汉字显示_第1页
利用键盘控制点阵进行汉字显示_第2页
利用键盘控制点阵进行汉字显示_第3页
利用键盘控制点阵进行汉字显示_第4页
利用键盘控制点阵进行汉字显示_第5页
资源描述:

《利用键盘控制点阵进行汉字显示》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、中北大学课程设计说明书   学生姓名:刘锦峰学号:08060441X40 学院:信息商务学院 专业:电子科学与技术 题目:利用键盘控制点阵进行汉字显示   指导教师:王红亮段俊萍职称:讲师    2011年6月24日-16-目录1.课程设计目的……………………………………………………………………22.课程设计内容及要求…………………………………………………………22.1设计内容…………………………………………………………………………22.2设计要求…………………………………………………………………………23.设计方案及实现情况………………………

2、……………………………………23.1设计思路…………………………………………………………………………23.2工作原理及框图…………………………………………………………………23.3各模块功能描述…………………………………………………………………43.4仿真结果………………………………………………………………………123.5试验箱验证情况………………………………………………………………144.课程设计总结……………………………………………………………………165.参考文献…………………………………………………………………………16-16-1、课程

3、设计目的1.学习操作数字电路设计实验开发系统,掌握点阵显示模块的工作原理及应用。2.掌握组合逻辑电路、时序逻辑电路的设计方法。3.学习掌握可编程器件设计的全过程。2、课程设计内容和要求2.1、设计内容用VHDL语言编写程序,使键盘控制点阵显示模块显示汉字。2.2、设计要求1.学习掌握键盘控制模块、点阵显示模块的工作原理及应用;2.熟练掌握VHDL编程语言,编写键盘控制模块的控制逻辑;3.仿真所编写的程序,模拟验证所编写的模块功能;4.下载程序到芯片中,硬件验证所设置的功能,能够实现汉字显示;5.整理设计内容,编写设计说明书。 3、 设计方案及实

4、现情况3.1、设计思路通过键盘扫描程序的行输出与列输入就可以确认按键的状态,再通过键盘处理程序便可识别键值。将产生的键值信号送到4-16译码器,经过译码器译码将键值通过LED点阵显示出来。3.2、工作原理及框图3.2.1、矩阵式键盘的工作原理矩阵式键盘又叫行列式键盘,是用I/O口线组成的行、列矩阵结构,在每根行线与列线的交叉处,二线不直接相通而是通过一个按键跨接接通。采用这种矩阵结构只需M根行输出线和N根列输入线,就可连接M×N个按键。通过键盘扫描程序的行输出与列输入就可确认按键的状态,再通过键盘处理程序便可识别键值。如图1-16-图14×8矩

5、阵键盘电路原理图键盘译码电路,主要是根据当按键时键盘会输出四个KIN值(KIN3—KIN0)和三个SEL值(SEL2—SEL0)。这些构成了键盘的参数。反过来就可以以这些参数来确定具体的键值。有了键盘参数表,要写出键盘译码电路的VHDL程序就非常容易了,尤其针对有表可以对照的电路设计,只要使用CASE-WHEN或WHEN-ELSE语句,便可轻松完成设计。表1键盘参数表SEL2-SEL0KIN3-KIN0对应的按键SEL2-SEL0KIN3-KIN0对应的按键000111001001110MEM11016110181011LAST1011E011

6、1CTRL0111EMPTY4001111011011110ESC11017110191011STEP1011F0111EMPTY10111SHIFT01011102110111041101REG1101A1011C1011NEXT0111EMPTY20111NONE01111103111111051101EXEC1101B1011D1011ENTER0111EMPTY30111NONE-16-3.2.2、点阵的工作原理点阵式LED显示器采用逐行扫描式工作。要使点阵显示出一个字符的编程方法是:首先选通第一行;接着,向行码锁存器写入该行的字型码(

7、即列数据)。然后,按相同的方式选通第二行,写第二行的字型码……由此类推,直到写完所有行的字型码,完成一个字符的显示。如果要使多个点阵循环显示多个字符,只要把显示的各个字符按顺序安排在显示缓冲区,然后根据显示的字符去查表,再按一定的时序向各个字形行码锁存器和行扫描器输入相应的字形行码和行扫描码,便可达到目的。图2点阵字符的显示3.2.3、程序框图图3程序总图3.3、各模块功能描述3.3.1、分频模块此模块对时钟信号进行分频,产生时序信号。-16-图4分频模块图程序:libraryieee;useieee.std_logic_1164.all;us

8、eieee.std_logic_unsigned.all;entityfenpinisport(clk:instd_logic;divclk:outs

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。