总线数据传输实验

总线数据传输实验

ID:11340481

大小:233.00 KB

页数:6页

时间:2018-07-11

总线数据传输实验_第1页
总线数据传输实验_第2页
总线数据传输实验_第3页
总线数据传输实验_第4页
总线数据传输实验_第5页
资源描述:

《总线数据传输实验》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、实验报告实验日期:2012年9月13日学号:20100820208姓名:李春阳实验名称:总线数据传输实验总分:一、实验设计方案实验框图:实验原理:总线是指一组进行互连和传输信息(指令、数据和地址)的信号线。总线的基本特性是不允许挂在总线上的多个部件同时向总线发出信息;但是,允许挂在总线上的多个部件同时从总线上接受信息。二、功能验证电路图:波形图操作步骤:0-100ns没有数据输入100-200nsK=E1,SW_BUS有效LDDR1有效,总线上写入E1,R1从总线读入E1200-300nsK=D2,SW_BUS有效LDDR2有效,总线上写入D2,R2从总线读入D2

2、300-400nsRI_BUS有效LDDR3有效,R1数据写入总线,R3从总线读入数据E1400-500nsR2_BUS有效LDDR1有效,R2数据写入总线,R1从总线读入数据D2500-600nsR3_BUS有效LDDR2有效,R3数据写入总线,LE显示R3数据,R2从总线读入数据E1600-700nsR1_BUS有效LDDR3有效,R1数据写入总线,R3从总线读入数据D2700-800nsR3_BUS有效,LE显示R3中存储的数据D2800-900nsR2_BUS有效LDDR3有效,R2数据写入总线,R3从总线读入数据E1900-1000nsR3_BUS有效,

3、LE显示R3中存储的数据E1数据记录仿真结论:仿真结果与预期结果一致,波形仿真逻辑功能验证正确,。实验日志2012年9月13日Q1.不知道如何将R1,R2的数据进行交换A1.再接入一个R3,使其与原本电路图中的R3并联,从总线获取值,并将值返回到总线。2012年9月13日Q1.总线数据传输的基本特性是什么?A1总线的基本特性是不允许挂在总线上的多个部件同时向总线发出信息,但是,允许挂在总线上的多个部件同时从总线上接受信息。.Q2从74374和74244内部电路结构图上说明它们的逻辑功能。A2逻辑图如下Q3.实验电路中的BIDIR端口的用途是什么?A2是双向数据总线

4、Q4举例说明画电路图中连线busline和nodeline的区别。总线与支线的命名方式是什么A4Q5实验需要互换R1和R2的数据,但是电路图中的R3连线有问题,错在哪里?为什么?A5R3只有现实端口LE输出而没有写入总线L的输出,若果没有写入总线L的输出的话就不能往总线写数据也即不能将数据写到别的寄存器继而影响到数据交换。Q6exp_bus.vhd代码中如何实现双向总线的定义与缓冲?A6定义端口,l:inoutSTD_LOGIC_VECOTR(7downto0)Q7编写VHDL代码时如何为寄存器赋初值?A7signalr:std_logic_vector(7dow

5、nto0):="0000000"2012年9月13日实验总结本次实验的重点在于,掌握两个寄存器内的数据交换需要借助另一个寄存器作为中转站,总体上而言难度并不高,是为今后实验的准备….

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。