总线数据传输实验报告

总线数据传输实验报告

ID:42799729

大小:372.71 KB

页数:4页

时间:2019-09-21

总线数据传输实验报告_第1页
总线数据传输实验报告_第2页
总线数据传输实验报告_第3页
总线数据传输实验报告_第4页
资源描述:

《总线数据传输实验报告》由会员上传分享,免费在线阅读,更多相关内容在工程资料-天天文库

1、总线数据传输实验报告通信六班2009【实验目的简述】通过实验使学生了解数据在计算机中是怎样传输的,熟悉总线的概念、寄存器之间数据交换的方法、三态缓冲器等,进一步掌握Quartus软件的使用,为下一步实验打下良好基础。【实验电路图】电路图如下:.;CLK:pM-:pW]Du$:pOu$:jrFbus™:rR31BU$~:rLDDRM>-•Z"f・km…・I.SJM.BU&.:R1RUS..■■i.R2』US.•i.R3.&US..■f;.LDDBp.1]1GN1Al1Y11c11A21Y21A31Y31A41Y4izr2GN2A

2、12Y12A22Y22A32Y32A4?Y4「LE0』]・・YL[7.D]jjUTPiiT9•••••••••••••••HzBle汕*16OCTALD・FF••••••c:eA("仁0■恳ailXZ01Q1D2Q2D3Q3D4Q405Q5D6Q6D7Q708Q8OQJCLK74374.Li••朿1GN1A11Y11A21Y21A31Y31A41Y42GN2A12Y12A22Y22A32Y32A42Y474244..R3.BUS.・!X—--X::*1E卿卜二二XLEg:希:;:二:U~「;;;;;;;;;;;;;iinst

3、l^CTALBUF.-n^Pi::::::::::::::::::::::::::::::::::::::::::::::mm:;:iin二hnstl?OCTALD・FFLDDEHqDRfJ].・・CLKLDOffi(Dp[2]・・・CLKX-—_K・.LQDBpp]・・CLKX一^X这次的实验图中涉及到了一些新器件,如74244三态缓冲器、芯片74374、AND2,还有一个bidir,这是一个输入输岀引脚,与input、output同属于pin。开始做实验的时候就是没注意到,用input代替的bidir,导致实验结果出不来

4、。【实验原理(总结概述)】总线是指一组进行互连和传输信息(指令、数据和地址)的信号线。其基本特征是不允许挂在总线上的部件同时有一个以上的部件向总线发岀信息;但是,允许挂在总线上的多个部件同时从总线上接收信息。因此,为保证传输信息的正确性、唯一性,输出到总线上的部件须通过“总线电路”向总线发信息。本次试验中,共有三个寄存器(R3、R2、R1)用于存储和接收数据,还有两个缓冲器,其中一个用于向总线输送数据,另一个连接R3和总线,用于显示R3中的数据。【实验步骤及波型说明】新建工程-建立BlockDiagramF订e-按照电路图连好

5、电路-保存、编译-建立VectorWaveformFile-插入引脚-设置波形-保存、仿真。仿真后的波形如下:下面对波形进行详细说明:K是输入到总线的数据;SWBUS、R3BUS、R2BUS、R1BUS均是低电平有效,LDDR[1]、LDDR[2]、LDDR[3]均是高电平有效。当SW_BUS有效时,数据(K)由缓冲器写入总线,无效时,数据无法写入总线,输出为高阻态;当R3.BUS有效吋,寄存器R3把数据写入总线,LDDRE3]有效时,寄存器R3从总线中读入数据并储存起来,R2、R1也是同样的道理。简单地说,向总线写入数据,必

6、须SW.BUS有效;R3从总线上读数据,必须LDI)R[3]有效(R2、R1同理);R3向总线写入数据时,必须R3_BUS有效(R2、R1同理)。Ons〜100ns没有数据输入(K二00)。100ns~200nsK二El,SW_BUS有效,LDDR[1]有效,所以我们成功向总线写入数据El,R1成功从总线上读入E1,并储存起来。200ns~300nsK=00,R1_BUS有效,LDDR[3]有效,R1中的数据写入总线,R3从总线上读入数据E1。300ns~400nsK=00,R3_BUS有效,LE显示R3中存储的数据(E1)・

7、400ns~500nsK二D2,SW_BUS有效,LDDR[2]有效,所以我们又成功的把D2经过缓冲器写入总线中,并且R2从总线上读入数据D2O500ns〜600nsK二00,R2_BUS有效,LDDR[3]有效,R2中的数据写入总线,R3从总线上读入数据D2。600ns~700nsR3_BUS有效,LE显示R3中存储的数据(D2)。700ns、800nsR1_BUS有效,LDDR[2]有效,把R1中的数据写入R2。800ns~900nsR3_BUS有效,LDDDR[1]有效,把R3中的数据写入R1,完成了R1与R2中数据的交

8、换。【测试程序及说明】EDA实验对于我而言,一直都感觉比较难,倒不是实验本身很难,我总想搞清实验的本质,比如这次的总线数据传输实验,仿真波形中的L一直为高阻态,想了很久想不通,但是又不想向人询问,大块的时间就浪费了,到后来不得向人请教,才知道这是软件的关系,不必深究。以后做实

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。