基于pxi总线的高速数字传输模块设计及正交解调的实现(二)

基于pxi总线的高速数字传输模块设计及正交解调的实现(二)

ID:26927519

大小:89.00 KB

页数:20页

时间:2018-11-30

基于pxi总线的高速数字传输模块设计及正交解调的实现(二)_第1页
基于pxi总线的高速数字传输模块设计及正交解调的实现(二)_第2页
基于pxi总线的高速数字传输模块设计及正交解调的实现(二)_第3页
基于pxi总线的高速数字传输模块设计及正交解调的实现(二)_第4页
基于pxi总线的高速数字传输模块设计及正交解调的实现(二)_第5页
资源描述:

《基于pxi总线的高速数字传输模块设计及正交解调的实现(二)》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、基于PXI总线的高速数字传输模块设计及正交解调的实现(二)  处带通滤波器的通带大于100KHz即可。由于是信道模拟,为了尽可能的达到模拟的效果,设计中以牺牲硬件的方式在中频处理数字信号。此时带通滤波并不是要滤出基带信号,而仅仅是实现正交变换,所以通带只要大于100KHz,保证基带信号通过。实际设计过程中,取2M,便于滤波器的设计。带通滤波器的设计方法如下:  (1)设计一个FIR低通滤波器,其通带为要求实现的通带的1/2。  (2)根据下面两个公式将数字低通滤波器的系数转换成I、Q带通滤波器系数(3-12)(3-13)  上式中

2、,  =阶低通滤波器的系数;  =带通信号的中心频率;  =滤波器系数的个数;  =采样周期;  3.2.2.2数字解调设计  首先要设计低通滤波器。SystemviemableGateArray)现场可编程门列阵是八十年代出现的一个新概念。FPGA是一种可由用户根据所设计数字系统的要求,在现场自己配置、定义的高密度专用数字集成电路。设计模块可在FPGA开发软件的支持下,建立和实现含有复杂逻辑的数字电路系统。  因为PXI总线对负载要求、传输数据的建立时间要求都比较苛刻,同时还需要器件内部实现配置的各类寄存器,以及完成逻辑校验、地

3、址译码等工作的寄存器,还要加入FIFO,用户寄存器和后端设备接口部分。自行设计PXI总线必然得将大量的人力和物力投入到纷繁的逻辑验证、时序分析工作上,开发周期长。另外有些公司,如Altera也针对可编程逻辑器件提供PCI控制器的软件包PCIMeagaCore。该软件包中包含了PCI总线制电路部分所有功能,用户以此作为一个模块,设计自己的外部设备接口程序。但PCIMegaCore软件包价格较昂贵,而且使用这一模块软件编程较复杂[23][24]。  (2)专用PCI接口芯片加FPGA/CPLD的接口方案  接口芯片提供了可靠的PCI逻

4、辑,功能比较齐全。选用专用芯片可以降低设计成本,缩短电路的开发周期,同时还提供了专用的开发调试工具,是一种省时省力的方案。缺点是用户可能只用到部分PCI接口功能,这样造成了一定的逻辑资源浪费,也缺乏灵活性,很可能增加板上的组件,导致产品成本的增加和可靠性的降低。综合以上两种实现方式的特点,为了减少开发时间,通常采用第二种方案选用专用的PCI接口芯片来实现不同总线之间的通讯。  本设计选用了PLX公司生产的PCI9054作为PCI控制器和Altera公司的FPGA进行读写逻辑和PXI扩展功能设计。  4.2PXI接口电路实现  用上

5、述PCI接口芯片加FPGA的接口方案设计的PXI总线接口框图如图4-1所示。由图中可以看出,采用了PCI接口芯片设计接口,可以大大简化设计难度,只需要将相应的地址/数据总线和控制总线接入PCI9054即可,所有的这些信号经过PCI9054之后,将复杂的PCI总线的数据传输逻辑,简化为简单的本地控制逻辑,使得所有的接口设计工作转化为本地对FPGA的编程。图4-1PXI总线接口框图  PXI接口中的PCI9054是目标接口芯片,只作为PXI总线的从设备。对其功能的实现和控制都是通过控制其内部的寄存器实现的,这些内部寄存器可以通过PXI

6、总线和EEPROM访问。  PCI9054是由美国PLX公司生产的PCII/O加速器,采用了先进的PLX数据流水线结构技术,是32位、33MHz的PCI总线主I/O加速器;符合PCI本地总线规范2.2版,突发传输速率达到132MB/s,本地总线支持复用/非复用的32位地址/数据;有M、C、J三种模式;针对不同的处理器及局总线特性可选,尽量减少中间逻辑;具有可选的串行EEPROM接口,本地总线时钟可和PCI时钟异步。PC9054内部有6种可编程的FIFO,以实现零等待突发传输及本地总线和PCI总线之间的异步操作;支持主模式,从模式,

7、DMA传输方式,因其强大的功能可应用于适配卡和嵌入式系统中。PCI9054是一种性价比较高的PCI桥路芯片[25]。  (1)初始化和复位上电过程中,PCI9054的内部寄存器由PXI总线RST#信号复位,PCI9054相应复位信号后,在本地总线上输出LRESET#信号,还要检查串行EEPROM是否存在,如果安装了EEPROM,则PCI9054用EEPROM中的值来配置片内的寄存器,否则用缺省值。PXI总线上的主控设备还可以通过软件对PCI9054复位。  (2)串行EEPROM  本设计中串行EEPROM采用93LC66B,按顺

8、序存储配置信息,如设备ID、供应商ID、子系统ID、PXI总线和本地总线之间地址映射、片选地址、控制位、状态位等配置信息。  (3)片内寄存器访问PCI9054提供了两类片内寄存器,即PXI总线配置寄存器和本地总线配置寄存器。两者都可以通过PXI总

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。