正弦波调制信号发

正弦波调制信号发

ID:41996824

大小:434.06 KB

页数:20页

时间:2019-09-06

正弦波调制信号发_第1页
正弦波调制信号发_第2页
正弦波调制信号发_第3页
正弦波调制信号发_第4页
正弦波调制信号发_第5页
资源描述:

《正弦波调制信号发》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、正弦波调制信号发生器的设计-160408113朱春鑫-160408123邱术海正弦波调制信号发生器 目录一、设计要求二、设计方案三、单元模块设计四、系统软件设计五、系统功能,指标参数1.测试仪器2.整机测试六、设计总结一、设计要求1.基本要求(1)制作完成一路正弦波信号输出,频率范围20Hz~20kHz;(2)具有频率设置和频率步进功能,频率步进10Hz;(3)输出信号频率稳定度优于10-5,用示波器观察时无明显失真;(4)输出电压幅度:在10kΩ负载电阻上的电压峰-峰值Vopp≥20V;(5)数字显示正弦波的电压有效值

2、、频率等,电压有效值精度5%,频率精度0.1%。2.发挥部分 (1)将正弦波输出信号扩展到三相输出,波形无明显失真,频率可调范围扩展到1Hz~30kHz,频率步进1Hz; (2)在上述信号频率范围内,任两相间的相位差在0°~359°范围内可任意预置,相位差步进1°; (3)在1Hz~30kHz频率范围内,增加矩形波输出信号,频率可任意预置,频率步进2Hz,频率精度0.05%;矩形波信号的占空比可以预置,占空比步进,当占空比为时,误差≤±; (4)信号发生器能输出载波频率约为10kHz的调频信号输出,要求调制信号频率在10

3、0Hz~1kHz频率范围内可变,用示波器观察载波信号无明显失真;二、设计方案方案一:用专用的DDS芯片ADI公司的AD9959,AD9959可以实现最多16电平的频率、相位和幅度调制,还可以工作在线性调频、调相或调幅模式。AD9959的应用范围包括相控阵列雷达/卢纳系统、仪表、同步时钟和RF信号源,并且有4路带10位DAC的DDS通道,最高取样频率为500MSPS,完全可以满足题目要求。方案二:FPGA实现DDS技术,把DDS中的ROM改用SRAM,SRAM作为一个波形抽样数据的公共存储器,只要改变存储波形信息的数据,就

4、可以灵活地实现任意波形发生器。方案比较:方案一中使用到专用的DDS芯片,利用专门DDS芯片开发的信号源比较多,它们输出频率高、波形好、功能也较多,但它们的ROM里一般都只存有一种波形(正弦波),加上一些外围电路也能产生少数几种波形,但速度受到很大的限制,因此使用不是很灵活。用FPGA设计DDS电路比采用专用DDS芯片更为灵活。因为只要改变SRAM中的数据,就可以产生任意波形,因而具有相当大的灵活性。FPGA芯片还支持在线升级,将DDS设计嵌入到FPGA芯片所构成的系统中,并采用流水线技术,其系统成本并不会增加多少,而购买

5、专用芯片的价格则是前者的很多倍。因此,采用FPGA来设计DDS系统具有很高的性能价格比。因此我们选择方案一。三、单元模块设计本系统由FPGA、单片机控制模块、键盘、LCD液晶显示屏、DAC输出电路和稳压电源电路构成。用FPGA实现直接数字频率合成技术(DDS),产生正弦波、方波、三角波,合成FSK、ASK、PSK、AM、FM等信号。采用单片机ATMAGE128控制直接数字频率合成器(DDS)的工作、按键及显示。整个系统结构紧凑,电路简单,功能强大,可扩展性强1.系统框图2、FPGA、DDS模块3、单片机最小系统级显示电路

6、4、DA转换器模块5、3路OPA452,后级运算放大电路四、系统软件设计1、单片机显示控制程序流程图五、系统功能、指标参数1、系统功能:实现三相三相正弦信号输出从以上数据可以得出,系统完全符合指标。设定输出误差506Hz505.8Hz0.2Hz1000Hz999.7Hz0.3Hz20548Hz20547.5Hz0.5Hz50000Hz49999.9Hz0.1Hz1、测试仪器TektronixTDS2024B示波器LuyangYB1731B3ADCPOWERSUPPLY;数英TFG3150LDDS函数信号发生器2、整机测试

7、六、设计总结本设计提出了一种使用经济有效的低频信号发生器的设计方法,系统可以实现各种频率各种相位的输出,可以实现ASK、FSK调制信号的输出,其他的调制信号也可在以后系统升级中需要的时候设置,也可在载波位10K模拟调频信号的输出,调制信号在100HZ到1KHZ范围内可调。系统功能强大,更可以升级扩长,系统DDS部分用的是VerilogHDL和VHDL混合编程实现,可以很方便的下载到FPGA芯片中测试,可以得到广泛的应用。附录BDDS得VHDL程序:libraryieee;useieee.std_logic_1164.al

8、l;useIEEE.STD_LOGIC_arith.all;useIEEE.STD_LOGIC_unsigned.all;usework.sine_lut_pkg.all;packagedds_synthesizer_pkgiscomponentdds_synthesizergeneric(ftw_width:int

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。