通信原理课程设计______基于fpga的时分多路数字基带传输系统的设计与开发

通信原理课程设计______基于fpga的时分多路数字基带传输系统的设计与开发

ID:822202

大小:1.27 MB

页数:40页

时间:2017-09-08

通信原理课程设计______基于fpga的时分多路数字基带传输系统的设计与开发_第1页
通信原理课程设计______基于fpga的时分多路数字基带传输系统的设计与开发_第2页
通信原理课程设计______基于fpga的时分多路数字基带传输系统的设计与开发_第3页
通信原理课程设计______基于fpga的时分多路数字基带传输系统的设计与开发_第4页
通信原理课程设计______基于fpga的时分多路数字基带传输系统的设计与开发_第5页
资源描述:

《通信原理课程设计______基于fpga的时分多路数字基带传输系统的设计与开发》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、通信系统课程设计基于FPGA的时分多路数字基带传输系统的设计与开发指导老师:戴慧洁武卫华班级:通信102班组长:组员:2013年7月5日通信系统课程设计目录1.通信系统课程设计目的、内容、要求1.1课程设计目的1.2课程设计内容1.3课程设计要求392.通信系统课程设计选题及小组划分2.1课程设计选题2.2小组划分3.通信系统课程设计系统框图及各模块参数指标3.1系统框图3.2各模块参数指标4.通信系统课程设计各模块原理与思路4.1PCM编译码4.2HDB3编译码4.3一次群时分复用与分接4.4同步5.通信系统课程设计各模块编程与波形仿真(含代码)5.1PCM编译码5.2HDB3编译码

2、5.3一次群时分复用与分接5.4同步6.通信系统课程设计心得体会1.通信系统课程设计目的、内容、要求及相关工具1.1课程设计目的39通信系统课程设计是一门综合设计性实践课程。使大家在综合已学现代通信系统理论知识的基础上,借助可编程逻辑器件及EDA技术的灵活性和可编程性,充分发挥自主创新意识,在规定时间内完成符合实际需求的通信系统电路设计与调试任务。本次课程设计选题为数字基带传输系统。数字通信系统是利用数字信号来传输信息的通信系统,传输的对象通常是二元数字信息,它可能来自计算机等其他数字设备的各种数字代码,也可能来自数字电话终端的脉冲编码,其包括数字基带传输和数字频带传输。数字基带传输就

3、是不经过调制而直接传送的方式,即发送端不使用调制器,接收端也不使用解调器。和频带传输相比,基带传输的优点是:设备简单,易做成“一机多速率”,适应性强。而对于时分多路技术,其具有十分优越的特点。其便于实现数字通信,易于制造,适于采用集成电路实现,成本较低,因此在数字信号传输中得到了广泛的应用。它不仅能够提高大家对所学理论知识的理解能力,更重要的是能够提高和挖掘大家对所学知识的实际运用能力,为将来进入社会从事相关工作奠定较好的“能力”基础。1.2课程设计内容(可选)A)时分多路数字电话基带传输系统的设计与开发B)时分多路数字电话基带传输系统的设计与开发1.3课程设计要求A)64Kb/S的A

4、律PCM数字话音编译码器的开发设计B)PCM30/32一次群时分复接与分接器的开发设计C)数字基带编码HDB3编译码器的开发设计D)正交相对四相移相键控QDPSK调制器的开发设计(可选)39E)同步(帧、位、载波同步(可选))电路的开发设计根据给定的设计指标,要求能综合运用通信原理理论所学知识,借助可编程逻辑器件,灵活使用EDA开发工具平台QuartusⅡ,独立进行时分多路数字电话基带传输系统初步方案设计、单元电路设计、VHDL程序设计、程序调试等工作。附工具:quartusⅡ6.0、FPGA、VHDL语言本次课程设计使用的软件是EDA开发工具平台QuartusⅡ6.0,Quartus

5、Ⅱ是Altera公司提供的可编程逻辑器件的集成开发软件,可编程逻辑器件开发的所有过程为:设计输入、综合、布局和布线、验证和仿真以及可编程逻辑器件的编程或配置。使用的芯片是EP1C6Q240C8。VHDL的全名是very-high-speedintegratedcircuithardwaredescriptionlanguage,VHDL主要用于描述数字系统的结构,行为,功能和接口。FPGA(Field-ProgrammableGateArray),即现场可编程门阵列,它是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC)领域中的一种半定制电

6、路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。2课程设计选题、小组划分2.1选题:时分多路数字电话基带传输系统的设计与开发2.2模块划分(A)负责64Kb/S的A律PCM数字话音编码器的开发设计39(B)负责64Kb/S的A律PCM数字话音译码器的开发设计(C)负责数字基带编码HDB3编码器的开发设计(D)负责数字基带编码HDB3译码器的开发设计(E)负责PCM30/32一次群时分复接器的开发设计(F)负责PCM30/32一次群时分分接器的开发设计(G)负责同步(帧、位同步)电路的开发设计3.通信系统课程设计系统框图及各模块技术指标3.1系统框图39PC

7、M时分复用数字基带传输,是各路信号在同一信道上占有不同的时间间隙进行通信。它把模拟信号通过抽样、量化、编码转变为数字信号,这些都靠编码器来实现,然后在位同步和帧同步信号的控制下通过复接器实现复接,复接后的信号通过信道传输,分接器在同步信号的作用下把接收到的信号进行分路,分路后的信号通过PCM译码、低通滤波器还原出输入的模拟语音信号。同步技术是时分复用数字通信的又一个重要特点。位同步是最基本的同步,是实现帧同步的前提。它的基本含义是收、发两端机的

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。