多进制数字相位调制系统课程设计

多进制数字相位调制系统课程设计

ID:8485514

大小:295.00 KB

页数:17页

时间:2018-03-29

多进制数字相位调制系统课程设计_第1页
多进制数字相位调制系统课程设计_第2页
多进制数字相位调制系统课程设计_第3页
多进制数字相位调制系统课程设计_第4页
多进制数字相位调制系统课程设计_第5页
资源描述:

《多进制数字相位调制系统课程设计》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、《武汉理工大学FPGA课程设计报告》目录摘要IAbstractII1引言12MPSK调制解调的原理22.1MPSK调制原理22.24PSK信号产生32.34PSK信号的解调原理33MPSK调制电路VHDL程序及仿真63.1FPGA中MPSK的实现63.2VHDL程序设计方法73.4仿真结果及分析84MPSK解调程序及仿真结果104.1解调VHDL程序104.2MPSK解调仿真结果125心得体会136参考文献14《武汉理工大学FPGA课程设计报告》摘要多进制数字相位调制也称多元调相或多相制。它利用具有多个相位状态的正弦波来代表多组二进制信息码元。本论文在FPGAP(Field-rogrammab

2、leGateArray,现场可编程门阵列)上实现MPSK(多进制相移键控)调制解调的功能。运用VHDL硬件描述语言进行编程,对整个MPSK系统进行仿真,得到仿真时序图,对程序代码进行XST综合,得到RTL视图。仿真结果表明该设计的正确性以及可行性,更清晰直观的了解到MPSK调制解调的原理。关键词:MPSK;FPGA实现;VHDL语言《武汉理工大学FPGA课程设计报告》AbstractMulti-banddigitalphasemodulation,alsoknownasmulti-phaseormultiphasesystem.Itisasinewavehavingapluralityofph

3、asestatestorepresentapluralityofsetsofbinaryinformationsymbols.Inthispaper,toachieveMPSK(M-aryPhaseShiftKeying)modulationanddemodulationfunctionsFPGAP(Field-rogrammableGateArray,afieldprogrammablegatearray)on.UsingVHDLhardwaredescriptionlanguageprogrammingfortheentireMPSKsystemsimulation,simulationt

4、imingdiagramoftheprogramcodeXSTsynthesis,getRTLview.Simulationresultsshowthecorrectnessandfeasibilityofthedesign,intuitivetounderstandmoreclearlytheprincipleofMPSKmodulationanddemodulation.Keywords:MPSK;FPGArealization;VHDLlanguageI《武汉理工大学FPGA课程设计报告》1引言FPGA(Field Programmable Gate Array)现场可编程逻辑门阵列,它

5、是在PAL、GAL、CPLD等可编程器件的基础上进一步发展的产物。它是作为专用集成电路(ASIC) 领域中的一种半定制电路而出现的,既解决了定制电路的不足,又克服了原有可编程器件门电路数有限的缺点。它是当今数字系统设计的主要硬件平台,其主要特点就是完全由用户通过软件进行配置和编程,从而完成某种特定的功能,且可以反复擦写。在修改和升级时,不需额外地改变PCB 电路板,只是在计算机上修改和更新程序,使硬件设计工作成为软件开发工作,缩短了系统设计的周期,提高了实现的灵活性并降低了成本。数字调制技术是现代通信系统中的关键技术之一,调制器性能的优劣将直接影响通信质阜的好坏。用可编程逻辑器件通过对器件内部

6、的设计来实现系统功能,是一种基于芯片的设计方法。将可编程逻辑器件应用于数字通信系统的调制解调,可大大减轻电路设计和电路板设计的丁作量和难度,有效地增强设计的灵活性,提高工作效率。本文研究了基于FPGA的MPSK调制电路的实现方法,并给出了仿真结果。13《武汉理工大学FPGA课程设计报告》2MPSK调制解调的原理2.1MPSK调制原理多进制数字相位调制也称多元调相或多相制。它利用具有多个相位状态的正弦波来代表多组二进制信息码元,即用载波的一个相位对应于一组二进制信息码元。如果载波有2^k个相位,它可以代表k位二进制码元的不同码组。多进制相移键控也分为多进制绝对相移键控和多进制相对(差分)相移键控

7、。在MPSK信号中,载波相位可取M个可能值,因此,MPSK信号可表示为假定载波频率是基带数字信号速率的整数倍,则上式可改写为:上式中,g(t)是高度为1,宽度为Tb的门函数,Tb为M进制码元的持续时间,亦即k(k=)比特二进制码元的持续时间,为第n个码元对应的相位,共有M种不同取值,令:,这样可得:。13《武汉理工大学FPGA课程设计报告》上式表明,MPSK信号可等效为两个正交载波进行多电平双边带

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。