多进制数字相位调制系统课程设计

多进制数字相位调制系统课程设计

ID:9889903

大小:134.50 KB

页数:10页

时间:2018-05-14

多进制数字相位调制系统课程设计_第1页
多进制数字相位调制系统课程设计_第2页
多进制数字相位调制系统课程设计_第3页
多进制数字相位调制系统课程设计_第4页
多进制数字相位调制系统课程设计_第5页
资源描述:

《多进制数字相位调制系统课程设计》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、石家庄经济学院通信实习报告院系:信息工程学院学号:姓名:日期:2013.1.15一、实习目的1、通过本次专业课程设计巩固并扩展通信课程的基本概念、基本理论、分析方法和仿真实现方法。2、结合所学的MATLAB和EDA等软件仿真技术,完成通信专业相关课程内容的建模和设计仿真。到达通信专业相关理论课程有效的巩固和整合,实现将理论知识和软件设计紧密结合。3、通过本次专业课程设计达到培养学生的创新能力、通信系统建模和仿真设计能力以及软件调试和分析能力的目的。二、实习要求1、应用通信类软件完成通信系统相关内容的设计和建模,并仿真出正确结果,对仿真波形加以重点分析和说明。2、按要求格式书写

2、报告,原理充分、设计方法及仿真结果分析正确、条理清晰、重点突出。三、实习内容(1)实习题目多进制数字相位调制系统设计(2)设计原理一、多进制数字相位调制(MPSK)多进制数字相位调制也称多元调相或多相制。它利用具有多个相位状态的正弦波来代表多组二进制信息码元,即用载波的一个相位对应于一组二进制信息码元。如果载波有2k个相位,它可以代表k位二进制码元的不同码组。多进制相移键控也分为多进制绝对相移键控和多进制相对(差分)相移键控。在MPSK信号中,载波相位可取M个可能值,因此,MPSK信号可表示为假定载波频率是基带数字信号速率的整数倍,则上式可改写为上式表明,MPSK信号可等效为

3、两个正交载波进行多电平双边带调幅所得已调波之和。因此其带宽与MASK信号带宽相同,带宽的产生也可按类似于产生双边带正交调制信号的方式实现。下面以四相相位调制为例进行讨论。四相调相信号是一种四状态符号,即符号有00、01、10、11四种状态。所以,对于输入的二进制序列,首先必须分组,每两位码元一组。然后根据组合情况,用载波的四种相位表征它们。这种由两个码元构成一种状态的符号码元称为双比特码元。同理,k位二进制码构成一种状态符号的码元则称为k比特码元。二、4PSK信号四相PSK(4PSK)信号实际是两路正交双边带信号。串行输入的二进制码,两位分成一组。若前一位用A表示,后一位用B

4、表示,经串/并变换后变成宽度加倍的并行码(A、B码元在时间上是对齐的)。再分别进行极性变换,把单极性码变成双极性码,然后与载波相乘,形成正交的双边带信号,加法器输出形成4PSK信号。显然,此系统产生的是π/4系统PSK信号。如果产生π/2系统的PSK信号,只需把载波移相π/4后再加到乘法器上即可。(系统信号的产生原理框图)因为4PSK信号是两个正交的2PSK信号的合成,所以可仿照2PSK信号的相平解调方法,用两个正交的相干载波分别检测A和B两个分量,然后还原成串行二进制数字信号,即可完成4PSK信号的解调。此法是一种正交相平解调法,又称极性比较法,原理图在下页(系统PSK信号

5、解调原理框图)为了分析方便,可不考虑噪声的影响。这样,加到接收机上的信号在符号持续时间内可表示两路乘法器的输出分别为LPF输出分别是根据π/4移相系统PSK信号的相位配置规定,抽样判决器的判决准则表在下页。当判决器按极性判决时,若正抽样值判为1,负抽样值判为0,则可将调相信号解调为相应的数字信号。解调出的A和B再经并/串变换,就可还原出原调制信号。若解调π/2移相系统的PSK信号,需改变移相网络及判决准则。(π/4系统判决器判决准则)三、MPSK调制电路VHDL程序及仿真FPGAclkstart基带信号分频0°90°180°270°串/并转换四选一开关调制信号(MPSK调制方

6、框图)注:电路符号图中没有包含模拟电路部分,输出信号为数字信号。基带信号通过串/并转换器xx得到2位并行信号yy;四选一开关根据yy的数据,选择载波对应的相位进行输出,即得调制信号y。--文件名:MPSK--功能:基于VHDL硬件描述语言,对基带信号进行MPSK调制--说明:调制信号说明如下表所示。(3)设计方法libraryieee;useieee.std_logic_arith.all;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entityMPSKisport(clk:instd_logic;--系

7、统时钟start:instd_logic;--开始调制信号x:instd_logic;--基带信号y:outstd_logic);--调制信号endMPSK;architecturebehavofMPSKissignalq:integerrange0to7;--计数器signalxx:std_logic_vector(1downto0);--中间寄存器signalyy:std_logic_vector(1downto0);--2位并行码寄存器signalf:std_logic_vector(3downt

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。