电子日历vhdl程序设计报告

电子日历vhdl程序设计报告

ID:10882285

大小:436.50 KB

页数:23页

时间:2018-07-08

电子日历vhdl程序设计报告_第1页
电子日历vhdl程序设计报告_第2页
电子日历vhdl程序设计报告_第3页
电子日历vhdl程序设计报告_第4页
电子日历vhdl程序设计报告_第5页
资源描述:

《电子日历vhdl程序设计报告》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、电子设计自动化实训说明书电子设计自动化实训说明书题目:电子日历VHDL程序设计报告系部:信息与控制工程学院专业:电子信息工程班级:07级2班学生姓名:张献哲学号:07031340221指导教师:杜德2010年12月6日电子设计自动化实训说明书目录1实训目的11.1硬件实训目的:11.2软件实训目的:12实训意义23实训内容43.1硬件实训内容:43.2软件实训内容:43.2.1功能说明:43.2.2软件设计详细内容:44实训中疑难解答175实训心得18参考文献20电子设计自动化实训说明书1实训目的1.1硬件实训目的:1.熟悉ED

2、A实验箱的基本工作原理。2.熟悉并掌握EDA实验箱各个模式的功能。3.提高学生的动手能力。1.2软件实训目的:1.熟悉并掌握Max+plus2软件的使用。2.熟练的使用原理图输入设计方法,VHDL语言编写程序,进一步了解和掌握各个程序语言,提高编程的熟练程度。3.掌握年、月、日、时、分、秒程序的原理,进而理解万年历的设计原理。4.拓宽学生知识面,增强工程意识,培养学生的分析和解决实际问题的能力。5.提高学生的动手能历。20电子设计自动化实训说明书2实训意义该数字时钟实现了调时、年、月、日、时、分、秒的显示功能,无需接译码器,可直

3、接接八段共阴极数码管,总体结构如下图所示:第一部分第二部分第三部分D8(l5)D7(l2)D6D5(l4)D4(l1)D3D2(l3)D18个LED数码管为了显示清楚只用了6个数码管,分为3个部分。采用的是EDA试验箱上的模式7。软件Max+plus2方面:在显示程序中,k3控制分屏。当k3=‘1’时,3部分数码管显示年、月、日;当k3=‘0’时,3部分数码管显示时、分、秒。万年历正常工作时,D1~D8都不亮。调时时,秒的变化不需控制,故需调时有年、月、日、时、分5个量。数码管每部分右边灯亮时,即l5亮、l4亮、l3亮分别控制的

4、是年、月、日的变化;每部分左边亮时,即l2亮、l1亮分别控制的是时、分的变化。若控制其中一个量变化时,其余4个量均不变,也不向高位进位。调时、显示、年、月、日、时、分、秒的VHDL语言分别生成各自的模块(Symbol),在顶层文件wannianli中调用这些底层模块——CNT60模块,CNT24模块,tian模块,yue模块,nian模块,tiaoshi模块,xianshi模块,然后链接各个模块,构成所需要的电子日历的原理图。硬件EDA试验箱方面:电子日历电路图根据要求采用的是EDA试验箱上的模式7。对万年历电路图上的引脚在电脑

5、软件Max+plus2进行绑定,然后编译,在进行下载,下载到硬件EDA试验箱上。在EDA试验箱上就可以观察到上述变化。引脚绑定如图所示:20电子设计自动化实训说明书20电子设计自动化实训说明书3实训内容3.1硬件实训内容:在电脑上通过软件Max+plus2对万年历电路图的引脚进行绑定,编译,然后与EDA试验箱连接,把wannianli.sof文件配置通过JTAG口载入FPGA中,选择实验电路模式No.7进行硬件测试。通过学习,理论上学习了EDA试验箱的原理,对试验箱内部的组件,以及组件之间的链接有了一定的了解,为以后的实训打下了

6、良好的基础。3.2软件实训内容:3.2.1功能说明:(1)显示准确的北京时间(年、月、日、时、分、秒,年号只显示最后两位)(2)随时可以调校时间即可以控制年、月、日、时、分的变化,可以跳到指定的时间。3.2.2软件设计详细内容:(1).秒、分:秒和分都是从0计数到59,可以用六十进制表示。CNT60程序如下:libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entityCNT60isport(CLK:instd_logic;cq1,cq2:

7、outstd_logic_vector(3downto0);cout:outstd_logic);endentity;architectureoneofCNT60issignalq1,q2:std_logic_vector(3downto0);20电子设计自动化实训说明书beginprocess(CLK)beginifCLK'eventandCLK='1'then––检测时钟上升沿q2<=q2+1;––q2开始计数ifq2=9thenq1<=q1+1;q2<="0000";endif;ifq2=9andq1=5thenq1<="

8、0000";q2<="0000";cout<='1';––q2=9,q1=5时,q1,q2清零并输出进位信号elsecout<='0';endif;endif;endprocess;cq1<=q1;cq2<=q2;end;CNT60波形仿真如图:CNT60模块如

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。