vhdl电子日历设计完整

vhdl电子日历设计完整

ID:3140825

大小:4.85 MB

页数:31页

时间:2017-11-19

vhdl电子日历设计完整_第1页
vhdl电子日历设计完整_第2页
vhdl电子日历设计完整_第3页
vhdl电子日历设计完整_第4页
vhdl电子日历设计完整_第5页
资源描述:

《vhdl电子日历设计完整》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、《EDA技术教程》基于VHDL的万年历设计(EDA实验报告)学校:郑州大学院部:物理工程学院年级:2010级专业:电子科学与技术姓名:张金灿2013年01月20日ONE:本设计为实现一个多功能的万年历,具有年、月、日、时、分、秒计时并显示的功能,其满量程计时为一万年;具有校对功能,能够对初始的时间进行人为的设定。设计采用EDA技术,以硬件描述语言VHDL为系统逻辑描述手段设计具有万年历功能的硬件电路,在QuartusII9.0软件设计环境下,采用自顶向下的设计思路,分别对各个基础模块进行创建,通过各个基础模块的组合和连接来构建上层原理图,完成基于VHDL万年历设计。系统目标芯片采

2、用EP2C35F484C8N,由CNT60模块、CNT24模块、DAY模块、MONTH模块、YEAR模块、TIAOSHI模块、CONTROL模块组成。经编译和仿真所设计的程序,在可编程逻辑器件上下载验证,将硬件编写程序下载到试验箱上,选择模式5进行功能验证。本系统能够完成年、月、日和时、分、秒的分别显示,由按键输入进行万年历的校时功能。TWO:万年历的设计思路与多功能时钟的设计思路相似。多功能时钟的各功能模块及相互之间的连接如下图1所示图1THREE:年、月、日和时、分、秒的显示格式如图2所示。年、月、日同时显示,时、分、秒同时显示,通过显示模式切换来分别显示。年/(时)月/分日

3、/秒图2万年历显示格式FOUR:按照模块化的设计思想,要实现万年历的基础功能,必定要包含年、月、日和时、分、秒的功能模块,其中秒和分可以用六十进制计数器来实现,时用二十四进制计数器实现,月用十二进制计数器来实现,年的低两位和高两位都是一百进制计数器,比较特殊的是天的计数器,因为它有四种情况,大月三十一天,小月三十天,平年二月二十八天,闰年二月有二十九天,所以年和月的模块对天的计数都有影响,需要从年和月的输出端引出控制信号来控制天的计数。同时每个计数器都有显示输出端和进位输出端,同时低级别(如秒)的进位输出要给较高级别(如分)的时钟输入端,以此类推,采用串行工作方式进行连接。从而完

4、成了基础的计时和显示的功能。再按照由基础功能到增强功能的设计思路,要实现校时功能,要在之前电路的基础之上增加一个校时控制模块,增加两个按键来实现控制,按键1来选择校对哪一个模块,按键2选择校对到何值——检测到按键2的一个上升沿,对应的计数器加1。除此之外还需要有显示模式的切换的功能,需要增加一个模式切换的控制模块,通过增加一个按键3来实现控制,是显示年月日还是时分秒。实验原理图万年历时分秒部分的原理图如下图所示,年月日部分与之同理,通过控制可以进行切换。译码驱动译码驱动译码驱动译码驱动译码驱动译码驱动时十位计数时个位计数分十位计数分个位计数秒十位计数秒个位计数校时控制电路校分控制

5、电路分频器电路分频器电路晶体振荡器电路1HZ图3万年历实验原理图FIVE:K1键是选择万年历工作的模式,K2键提供上升沿(时钟功能)来使各计数模块加一,从而实现校时的功能。LED灯起指示作用。共有五种选择模式(A,B,C,D,E,F):A:正常计时--K1不按B:调整分--K1按下一次C:调整时--K1按下两次D:调整日--K1按下三次E:调整月--K1按下四次F:调整年--K1按下五次CLK是外部1Hz输入时钟,作为秒的时钟输入,驱动整个万年历工作运行。K3键是显示模式的选择,显示时分秒时,LED指示灯亮,显示年月日时,LED指示灯灭。SIX:VHDL语言描写和原理图部分1.秒

6、与分模块为六十进制的计数器源程序:LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYCNT60ISPORT(CLK:INSTD_LOGIC;Q1,Q2:OUTSTD_LOGIC_VECTOR(3DOWNTO0);COUT:OUTSTD_LOGIC);ENDCNT60;ARCHITECTUREONEOFCNT60ISSIGNALQ11,Q22:STD_LOGIC_VECTOR(3DOWNTO0);BEGINPROCESS(CLK)BEGINIFCLK'EVENTANDCLK='1'

7、THENQ11<=Q11+1;IFQ11=9THENQ11<=(OTHERS=>'0');Q22<=Q22+1;ENDIF;IFQ22=5ANDQ11=9THENQ22<="0000";Q11<="0000";COUT<='1';ELSECOUT<='0';ENDIF;ENDIF;ENDPROCESS;Q1<=Q11;Q2<=Q22;END;仿真结果:图660进制计数器仿真图原理图:2.小时模块时模块为24进制计数器。源程序:LIBRARYIEEE;USEIEEE.STD_LOG

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。