八位二进制计数器代码.doc

八位二进制计数器代码.doc

ID:56435196

大小:59.50 KB

页数:4页

时间:2020-06-24

八位二进制计数器代码.doc_第1页
八位二进制计数器代码.doc_第2页
八位二进制计数器代码.doc_第3页
八位二进制计数器代码.doc_第4页
资源描述:

《八位二进制计数器代码.doc》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、数字电路课程设计设计题目计数器设计:设计一个8位二进制计数器。功能要求:加减控制信号为1时,加计数;0时,减计数。使能控制信号为1时,停止计数,并将当前计数值设置为输入值;使能控制信号为0时,计数器按照加减控制信号工作。要求写出Verilog代码,给出仿真波形一、设计思路1、认真理解题目(1)作为一个八位二进制计数器,首先,这个计数器有八个比特位;其次,二进制计数器必须按照二进制的递增计数,于一般二进制不一样。(2)使能信号为1是停止计数,为0是开始计数。说明该计数器的使能端信号低有效。(3)控制信号位1

2、时递增计数。控制信号位0时递减计数,说明该计数器位双向计数器。2、设计思路(1)递增计数和递减计数两种模式在控制变量1和0的选择下进入,因而可以采用if语句的嵌套来实现比较容易。(2)停止计数时可以只把之前的计数输出赋值给置位信号。(3)经过分析,该模型的变量有使能信号、置位信号、选择模式信号、时钟信号、输出状态。二、verilog程序/******************************分频模块*************************************/`timescale1ns

3、/1psmodulequ_dou(clk,rst,a,b);inputclk;wireclk;inputrst;inputa;wirea;outputb;regb;reg[31:0]cnt;regclkout;always@(posedgeclkornegedgerst)beginif(rst==1'b0)cnt<=0;elsebeginif(a==1'b1)beginif(cnt>=32'd3000000)b<=1;elsecnt<=cnt+1'b1;endelsebeginb<=1'b0;cnt<=0

4、;endendendendmodule`timescale1ns/1psmodulecounter4(load,clr,c,DOUT,clk,up_down,DIN,sysclk,rst);inputload;inputclk;wireload;inputclr;wireclr;inputup_down;wireup_down;input[3:0]DIN;wire[3:0]DIN;inputsysclk;inputrst;outputc;regc;output[7:0]DOUT;wire[7:0]DOUT

5、;reg[7:0]data_r;/*****************例化去抖模块*************************************/wireclk_r;qu_douqu_dou(.clk(sysclk),.rst(rst),.a(clk),.b(clk_r));//*********************************************************************assignDOUT=data_r;always@(posedgeclk_rorp

6、osedgeclrorposedgeload)beginif(clr==1)//同步清零data_r<=0;elseif(load==1)//同步预置data_r<=DIN;elsebeginif(up_down==1)beginif(data_r==8'b11111111)begin//加计数data_r<=8'b00000000;c=1;endelsebegin//减计数data_r<=data_r+1;c=0;endendelsebeginif(data_r==8'b00000000)begin//

7、加计数data_r<=8'b11111111;c=1;endelsebegin//减计数data_r<=data_r-1;c=0;endendendendendmodule三、仿真波形四、结果及分析在程序设计中,当置数控制端口为高电平时,允许为该计数器置初值,把置数端口的值附给计数器,即高电平时有效。如果清零信号为1时,则将对计数器清零,即复位;当为低电平时,如果清零为"0',则看是否有时钟上升沿,此时如果有时钟信号,又测得使能信号位为'1',同时k='1',则允许加法计数;如果有时钟信号,又测得使能信号

8、为'1',同时测得k='0',则减法计数。如果测得如使能信号'0',则跳出if语句,使qq保持原值,并将所计数的数值向输出端口输出。

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。