eda频率计课程设计报告

eda频率计课程设计报告

ID:12303014

大小:111.50 KB

页数:10页

时间:2018-07-16

eda频率计课程设计报告_第1页
eda频率计课程设计报告_第2页
eda频率计课程设计报告_第3页
eda频率计课程设计报告_第4页
eda频率计课程设计报告_第5页
资源描述:

《eda频率计课程设计报告》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、《EDA频率计》课程设计报告专业:电子信息工程班级:电信0901姓名:***************指导教师:**************2012年6月04日9目录一、课程设计题目描述和要求……………………………………2二、课程设计报告内容……………………………………………3三、总结……………………………………………………………5附录……………………………………………………………6参考书目……………………………………………………………89引言在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此频率的测

2、量就显得更加重要。数字频率计是数字电路中的一个典型应用,实际的硬件设计用到的器件较多,连线比较复杂,而且会产生比较大的延时,造成测量误差、可靠性差。随着现场可编程门阵列FPGA的广泛应用,以EDA工具作为开发手段,运用VHDL等硬件描述语言语言,将使整个系统大大简化,提高了系统的整体性能和可靠性。一、课程设计题目描述和要求1.1、课程设计题目描述1)设计一个能测量方波信号的频率的频率计。2)测量的频率范围是0~999999Hz。3)结果用十进制数显示。4)按要求写好设计报告。1.2、课程设计要求1)脉冲信号的频率就是在单位时间内所产生的脉冲个数,

3、其表达式为,f为被测信号的频率,N为计数器所累计的脉冲个数,T为产生N个脉冲所需的时间。所以,在1秒时间内计数器所记录的结果,就是被测信号的频率。2)被测频率信号取自实验箱晶体振荡器输出信号,加到主控门的输入端。3)再取晶体振荡器的另一标准频率信号,经分频后产生各种时基脉冲:1ms,10ms,0.1s,1s等,时基信号的选择可以控制,即量程可以改变。4)时基信号经控制电路产生闸门信号至主控门,只有在闸门信号采样期间内(时基信号的一个周期),输入信号才通过主控门。5)f=N/T,改变时基信号的周期T,即可得到不同的测频范围。6)当主控门关闭时,计数

4、器停止计数,显示器显示记录结果,此时控制电路输出一个置零信号,将计数器和所有触发器复位,为新的一次采样做好准备。9二、课程设计报告内容1、频率计设计原理在电子技术中,频率是最基本的参数之一,并且与许多电参量的测量方案、测量结果都有十分密切的关系,因此,频率的测量就显得更为重要。测量频率的方法有多种,其中电子计数器测量频率具有精度高、使用方便、测量迅速,以及便于实现测量过程自动化等优点,是频率测量的重要手段之一。数字式频率计的测量原理有两类:一是直接测频法,即在一定闸门时间内测量被测信号的脉冲个数;二是间接测频法即测周期法,如周期测频法。直接测频法

5、适用于高频信号的频率测量,通常采用计数器、数据锁存器及控制电路实现,并通过改变计数器阀门的时间长短在达到不同的测量精度;间接测频法适用于低频信号的频率测量。本设计中使用的就是直接测频法,即用计数器在计算1S内输入信号周期的个数,其测频范围为1Hz~999999Hz。2、频率计的设计思路频率测量的基本原理是计算每秒钟内待测信号的脉冲个数。这就要求测频控制信号发生器TESTCTL的计数使能信号TSTEN能产生一个1秒脉宽的周期信号,并对频率计的每一计数器CNT10的使能端ENA进行同步控制。当TSTEN为高电平时,允许计数;为低电平时停止计数,并保持

6、其计数结果。在停止计数期间,首先需要一个锁存信号LOAD的上跳沿将计数器在前1秒种的计数值锁存进32位锁存器REG32B中,并由外部的7段译码器译出,并稳定显示。设置锁存器的好处是,显示的数据稳定,不会由于周期性的清零信号而不断闪烁。锁存信号之后,必须有一个清零信号CLR-CNT对计数器进行清零,为下1秒的计数操作做准备。测频控制信号发生器的工作时序如所示。寄存器REG32B设计要求是:若已有32位BCD码存在于此模块的输入口,在信号LOAD的上升沿后即被锁存到寄存器REG32B的内部,并由REG32B的输出端输出,然后由7段译码器译者成能在数码

7、管上显示输出的相应数值。9计数器CNT10设计要求:有一时钟使能输入端,用于锁定计数值。当高电平时计数允许,低电平时禁止计数。本设计可通过实验箱下载验证,将第一全局时钟CLK接实验箱1Hz频率信号,第二全局时钟CLK2作为待测频率输入,输出接6个数码管显示所测的频率值。3、频率计电路的顶层结构及仿真图本设计有三个模块组成,测频控制信号发生器TESTCTL六个有时钟使能功能的十进制计数器CNT10和六个4位锁存器REG4B,如图所示:频率计电路图3.1、测频控制信号发生器TESTCTLTESTCTL的计数器使能信号TESTCTL能产生一个1秒脉宽的

8、周期信号,并对频率计的每一个计数器CNT10的ENA使能端进行控制。当TESTCTL为高电平时允许计数,当为低电平时禁止计数,并保持其所

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。