小丹的交通灯设计

小丹的交通灯设计

ID:14648069

大小:247.00 KB

页数:16页

时间:2018-07-29

小丹的交通灯设计_第1页
小丹的交通灯设计_第2页
小丹的交通灯设计_第3页
小丹的交通灯设计_第4页
小丹的交通灯设计_第5页
资源描述:

《小丹的交通灯设计》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、一、总体设计思想1.基本原理设计的交通信号灯控制电路要能够适用于由一条主干道和一条支干道的汇合点形成的十字交叉路口。能够做到主、支干道的红绿灯闪亮的时间不完全相同,在绿灯跳变红灯的过程中能够用黄灯进行过渡,使得行驶过程中的车辆有足够的时间停下来。还要求在主、支干道各设立一组计时显示器,能够显示相应的红、黄、绿倒计时。可以利用VHDL语言合理设计系统功能,使红黄绿灯的转换有一个准确的时间间隔和转换顺序。2.设计框图根据设计要求和系统所具有的功能,并参考相关的文献资料,经行方案设计,可以画出如下图所示的交通信号灯控制器的系统框图。CLK时钟分频模块扫描显示译码模块

2、交通灯控制及计时模块LED显示数码管位码数码管段码CAR1kHz1kHz1kHz支干道车辆检测数码管及LED信号图1系统框图系统的状态图如下所示S0:支干道没有车辆行驶,主干道绿灯,支干道红灯;S1:支干道有车辆行驶,主干道绿灯,支干道红灯;S2:支干道有车辆行驶,主干道黄灯,支干道红灯;S3:支干道有车辆行驶,主干道红灯,支干道绿灯;S4:支干道有车辆行驶,主干道红灯,支干道黄灯CAR=’0’S0S1S2S3S4CAR='1’c="1001111"CAR='0'c="1001111"CAR='1'c="0101100"c="0110001"c="100101

3、0"图2系统状态图二、设计步骤和调试过程1、总体设计电路libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;useieee.std_logic_arith.all;entityjiaotongLEDisgeneric(----------------------------定义灯亮的时间zhu_green_cnt:integer:=45;--------主干道绿灯zhu_yellow_cnt:integer:=5;-------主干道黄灯zhi_green_cnt:inte

4、ger:=25;------支干道绿灯zhi_yellow_cnt:integer:=5);------支干道黄灯port(clk:instd_logic;rst:instd_logic;-----------------复位信号zhu_green_led:outstd_logic;zhu_yellow_led:outstd_logic;zhu_red_led:outstd_logic;zhi_green_led:outstd_logic;zhi_yellow_led:outstd_logic;zhi_red_led:outstd_logic);endjiao

5、tongLED;------------------------------------architectureexofjiaotongLEDistypestatesis(s0,s1,s2,s3,s4);signalstate1:states:=s0;signalstate:states:=s0;signalcnt:integerrange0to150;signalsave_cnt:integer;signalenable_cnt:std_logic:='0';begin-----------------------------------------u1:p

6、rocess(rst,clk)-----------信号灯的时间状态的转换beginifrst='1'then-------------------判断是否按下复位state<=s0;cnt<=1;elsifclk'eventandclk='1'thenifenable_cnt='1'thencnt<=cnt+1;elsecnt<=1;endif;casestateiswhens0=>if(cnt=zhu_green_cnt)thenstate<=s1;elsestate<=s0;endif;whens1=>if(cnt=zhu_yellow_cnt)then

7、state<=s2;elsestate<=s1;endif;whens2=>if(cnt=zhi_green_cnt)thenstate<=s3;elsestate<=s2;endif;whens3=>if(cnt=zhi_yellow_cnt)thenstate<=s0;elsestate<=s3;endif;whens4=>if(cnt=exi_cnt)thencnt<=save_cnt;state<=state1;endif;endcase;endif;endprocessu1;--------------------------------------

8、-------------u2:pro

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。