燕山大学eda课程设计电子日历

燕山大学eda课程设计电子日历

ID:18428897

大小:158.00 KB

页数:7页

时间:2018-09-17

燕山大学eda课程设计电子日历_第1页
燕山大学eda课程设计电子日历_第2页
燕山大学eda课程设计电子日历_第3页
燕山大学eda课程设计电子日历_第4页
燕山大学eda课程设计电子日历_第5页
资源描述:

《燕山大学eda课程设计电子日历》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、一、设计题目及要求1.题目:电子日历2.要求:(1).能显示年、月、日,星期;(2).例如:“01.11.086”,星期日显示“8”;(3).年月日,星期可调;(4).不考虑闰年。二、设计过程及内容(包括总体设计的文字描述,即由哪几个部分构成的,各个部分的功能及如何实现方法;主要模块比较详尽的文字描述,并配以必要的图片加以说明,但图片数量无需太多)为实现本电路得功能,采取模块电路设计方法,本电路系统主要包括以下三三大模块:.1:电子日历记数模块2:中间控制模块3:译码器显示模块由于不同的月份,决定了不同的天数,因此须设计反馈电路,协调月日的关系,通过不同的月选择相应的天数:比如二月二十

2、八天,十二月三十一天,……..这是利用真值表列出逻辑表达式,从而画出电路图如图1:7仿真图如下:设计过程:1、电子日历记数模块1)、实现星期计时:为实现星期计时模块,计到星期日时,显示“8”,采用一般的计数器难以实现,即可通过四个jk触发器设计而成。其电路图如下:7仿真图如下:2)、实现天数计时:由于不同的月份,决定了不同的天数,因此须设计三个独立完成计数的计数器电路,如日计数器周期性的(28,30或31)向月计数器进位调月日的关系,即通过三个选择端(c28,c30,c31),同一时刻只能有一个有效,由其中的任一个有效端来控制相应日计数器。其电路原理图73)、实现月份及年份计时:由用两

3、个74160采用整体同步置数分别构成100进制和12进制计数器,分别完成年,月的计数功能。然后将两者依次异步连接,每隔12个月,月计数器向年计数器进一位,从而实现年月的周期性计数。月份计数器电路原理图如下:年份计数器电路图如下:7仿真图如下:2、中间控制模块时钟脉冲经cp输入端引入,控制端有:K,Kweek,Kday,Kmonth,Kyear1,Kyear2当接入电源时,须按K按钮进行调整到某一时间即正常计时。按下K时,同时按其它的任一控制端,即可完成对相应的控制及调整。电路原理图如下:部分仿真图如下:73、译码器显示模块完成内部模块设计之后,需要显示出来,即用扫描电路将星期,年、月、

4、日在显示管上显示出来。扫描电路由74160构成的八进制计数器,四个八选一数据选择器74151及7449构成,如图四所整个电路图如下:当接入电源时,须按clan按钮一次使月份为1时,可计时及完成相关控制调整功能。7。三、设计结论(包括设计过程中出现的问题;对EDA课程设计感想、意见和建议)这次EDA课程设计中遇到了很多问题,星期的计时问题,不是一个简单的7进制计数器就能实现的,后来通过真值表找出逻辑关系终于实现了。还有就是月份与天数的问题,因为不同的月份决定不同的天数,还需要月份给天数一个反馈,设计一个判断模块来判断天数。控制模块也想了很长时间。在这两周的EDA课程设计中,我深深地体会到

5、动手实践的重要。作为理工科学生,只学会课本上的一些最基本的理论知识是远远不够的,而真正的掌握是需要亲自动手实践的。只有手脑并用才能充分地灵活地掌握和运用知识。课程设计开始的时候我感到很茫然什么也不会,不知道如何入手,后来通过看课本和一些参考书渐渐的明白了一些原理,到最后做完题目的时候发现原来题目并没有我们想象得那么难,只要肯用心我们完全有能力做好的。7

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。