基于fpga设计的功能仿真和时序仿真

基于fpga设计的功能仿真和时序仿真

ID:23160665

大小:54.50 KB

页数:9页

时间:2018-11-04

基于fpga设计的功能仿真和时序仿真_第1页
基于fpga设计的功能仿真和时序仿真_第2页
基于fpga设计的功能仿真和时序仿真_第3页
基于fpga设计的功能仿真和时序仿真_第4页
基于fpga设计的功能仿真和时序仿真_第5页
资源描述:

《基于fpga设计的功能仿真和时序仿真》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、基于FPGA设计的功能仿真和时序仿真  摘要:介绍了FPGA设计流程中的仿真应用;详细讨论了FPGA设计的功能仿真和时序仿真的各个步骤,重点阐述了仿真激励的添加方法和仿真库的编译等。  关键词:FPGA;ModelSim;功能仿真;时序仿真;库编译引言  FPGA设计流程包括设计输入,仿真,综合,生成,板级验证等很多阶段。在整个设计流程中,完成设计输入并成功进行编译仅能说明设计符合一定的语法规范,并不能说明设计功能的正确性,这时就需要通过仿真对设计进行验证。在FPGA设计中,仿真一般分为功能仿真(前仿真)和时序仿真(后仿真)。功能仿真又叫逻辑仿真,是指在不考虑

2、器件延时和布线延时的理想情况下对源代码进行逻辑功能的验证;而时序仿真是在布局布线后进行,它与特定的器件有关,又包含了器件和布线的延时信息,主要验证程序在目标器件中的时序关系。在有些开发环境中,如XilinxISE中,除了上述的两种基本仿真外,还包括综合后仿真,转换(post-translate)仿真,映射后(post-map)仿真等,这样做完每一步都可进行仿真验证,从而保证设计的正确性。  ModelSim是MentorGraphics子公司MentorTechnology的产品,是当今最通用的FPGA仿真器之一。ModelSim功能强大,它支持FPGA设计的

3、各个阶段的仿真,不仅支持VHDL仿真,Verilog仿真,而且支持VHDL和Verilog混合仿真。它不仅能做仿真,还能够对程序进行调试,测试代码覆盖率,对波形进行比较等。ModelSim有很多版本,像ModelSim/SE是首要版本,除此之外还有ModelSim/XE和ModelSim/AE,分别是为Xilinx公司和Altera公司提供的OEM版,其中已包含各公司的库文件,故用特定公司OEM版进行仿真时就不需编译该公司的库了。用ModelSim进行功能仿真  进行功能仿真首先要检查设计的语法是否正确;其次检查代码是否达到设计的功能要求。下文主要介绍仿真步骤

4、和测试激励的加载。仿真步骤(1)建立库并映射库到物理目录  因为用ModelSim进行仿真是建立在仿真库的基础上的(此处进行的是功能仿真,因而不用编译特定厂商的库),所以首先要建立库并把库映射到实际的物理路径。通常用户编译的文件都放在work库中,所以必须先建立work库。有两种方法建立并映射库,第一种方法是通过图形界面,在菜单Design→CreateaNewLibrary弹出对话框,如图1所示。在LibraryName中输入work,如果建立其它库,可以输入其它名字。LibraryMapto是映射的物理路径。第二种方法是用命令行的形式,建立库用ModelS

5、im>vlib<库名>,映射库用ModelSim>vmap,如建立并映射库work,就可以在ModelSim主窗口命令提示符下输入vlibworkvmapworkwork                                       图1建立新库的对话框(2)编译源代码  该步骤主要检查源文件的语法错误。实现方法有两种,一是通过菜单Design→Compile,出现选择源文件对话框,选择要编译的源文件,编译即可;二是通过命令行方式,这一步对于VHDL和Verilog所使用的命令是不一样的,对于VHDL代码用vcom-work.vhd.vhd,对于

6、Verilog代码用vlog-work.v.v,文件按出现的先后顺序编译,且支持增量编译。编译后的文件会放在缺省当前work库中。(3)启动仿真器  该步骤主要是把所有仿真的文件加载到当前的仿真环境中。实现的方法两种,一是通过菜单Design→LoadDesign,出现加载对话框,选择要仿真的程序即可;二是通过命令行的形式vsim-lib,这条命令对于VHDL和Verilog都一样。(4)执行仿真  该步骤是正式执行仿真了,在仿真前最重要的一个步骤就是加载激励,如要对下面的加法器进行仿真,加法器实体说明如下:entityAddisport(D1:instd_l

7、ogic_vector(7downto0);--输入D2:instd_logic_vector(7downto0);--输入D0:outstd_logic_vector(7downto0);--输出CE:instd_logic;-使能,低有效Clk:instd_logic);--时钟endAdd;测试激励的加载激励的加载有四种方法:(1)命令行方式  这种方法是通过在命令行下直接输入命令给信号加载激励,然后进行仿真。如要对上面的加法器进行仿真,则输入如下命令:Vsim–tpswork.add//加载work库中的实体add,时间分辨率为psAddwave–he

8、xD1Addwave–hexD2Add

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。