Quartus_II_时序仿真步骤

Quartus_II_时序仿真步骤

ID:44769854

大小:470.50 KB

页数:14页

时间:2019-10-28

Quartus_II_时序仿真步骤_第1页
Quartus_II_时序仿真步骤_第2页
Quartus_II_时序仿真步骤_第3页
Quartus_II_时序仿真步骤_第4页
Quartus_II_时序仿真步骤_第5页
资源描述:

《Quartus_II_时序仿真步骤》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、FPGA系统设计与实践基于QuartusII功能仿真和时序仿真4.2.1建立VectorWaveformFile文件打开工程div3新建文件新建波形文件ok信号窗口波形窗口双击信号窗口设置信号属性添加节点列出所有节点选择所有节点OK单击鼠标右键,在弹出的菜单中选择ValueClock设置信号周期设置信号相位设置信号占空比选择生成功能仿真网表选择仿真设置工具选择进行功能仿真还是时序仿真开始仿真4.2.3功能仿真开始仿真4.2.3功能仿真引脚分配引脚分配选择pin标签选择对应的FPGA引脚选择对应的输入输出引脚

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。