modelsim操作方法

modelsim操作方法

ID:15813537

大小:77.50 KB

页数:3页

时间:2018-08-05

modelsim操作方法_第1页
modelsim操作方法_第2页
modelsim操作方法_第3页
资源描述:

《modelsim操作方法》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、ModelSim在105的xterm中输入命令:vsim&即启动ModelSim.然后点击界面上方的File——New——Project,出现如下界面:在ProjectName中输入想要建立的工程的名字,在ProjectLocation中输入想要建立工程的路径,其他都是默认值即可,填好后点击OK.出现如下界面:如果想填入已经写好的代码,则点击AddExistingFile,找到文件路径进行添加,设置好文件格式(.v文件选择Verilog,.txt文件选择Text),点击OK则添加完毕。如果想要重新写代码,则点击CreatNewFile,写好代

2、码后保存即可。把文件添加到工程后,点击工具栏上方的编译按钮,如下图所示:编译不成功则改代码中的错误,直到全部编译成功为止。编译成功后点击编译按钮后面的Simulate按钮:出现如下对话框,选择Work中的testbench文件,点击OK即可进行仿真。然后执行View——Wave,View——Objests,将Objests中想要观察的信号拖进Wave窗口,最后点击运行按钮Run-All(如下图),等仿真完毕后按红色的小叉按钮Break来停止仿真。此时观察Wave窗口即有仿真波形。

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。